«Телесистемы»:
Конференция «Языки описания аппаратуры (VHDL и др.)»
Страницы:
Текущая
62
61
60
59
58
57
56
55
54
53
52
51
50
49
48
47
46
45
44
43
42
41
40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
[an error occurred while processing this directive]
Новое сообщение
Регистрация
Телеконференции
——> Выберите конференцию
Микроконтроллеры <03.01.2024 22:59>
Программируемые логические схемы <03.01.2017 20:54>
Языки описания аппаратуры (VHDL и др.) <23.12.2018 20:16>
Цифровые сигнальные процессоры (DSP) <31.08.2023 09:00>
Аналоговая схемотехника <16.06.2022 18:58>
Голосования <08.12.2022 22:35>
Народ... помогите с сonstraints'ами
—
vladx
(17.05.2005 18:01
217.12.251.5
, 540 байт)
Что-то мне кажется, что у Вас проект несинхронный
—
Victor®
(17.05.2005 18:22
212.67.84.229
,
пустое
)
Да не одна сволочь без clk не шевельнется... :)
—
vladx
(17.05.2005 18:46
217.12.251.5
, 949 байт)
Сигнал start_en ассинхроный относительно CLK: в этом и проблема. Сделаете его синхронным и все будет ОК
—
DPAVLOV
(17.05.2005 19:57
62.118.80.132
,
пустое
)
в смысле асинхронный :)
—
DPAVLOV
(17.05.2005 20:01
62.118.80.132
,
пустое
)
Я его потом защелкиваю....
—
vladx
(17.05.2005 20:11
217.12.251.5
, 160 байт)
Вы написали, <В другой схеме тож самое>(+)
—
DPAVLOV
(18.05.2005 10:40
62.118.80.132
, 241 байт)
Так в том-то и вопрос....
—
vladx
(18.05.2005 11:35
217.12.251.5
, 886 байт)
Оставте только один start_en_trg(+)
—
DPAVLOV
(18.05.2005 12:25
62.118.80.132
, 582 байт)
А вот можно поподробнее...
—
vladx
(18.05.2005 12:47
217.12.251.5
, 332 байт)
Кто такой FDC_1 и почему он запускается по клоку? Зачем вы используете CLK для старта ?
—
DPAVLOV
(18.05.2005 14:14
62.118.80.132
,
пустое
)
FDC_1 - триггер с инвертированным клоком и асинхронным сбросом...
—
vladx
(18.05.2005 14:22
217.12.251.5
, 218 байт)
А можно привести характеристики сигнала start(+)
—
DPAVLOV
(18.05.2005 15:01
62.118.80.132
, 257 байт)
Ответ:
—
vladx
(18.05.2005 16:17
217.12.251.5
, 1346 байт)
Поскольку длительность импульса больше периода CLK, могу посоветовать следующее(+)
—
DPAVLOV
(18.05.2005 20:14
62.118.80.132
, 647 байт)
Сорри: start_en <= NOT(d2) AND d3;
—
DPAVLOV
(18.05.2005 20:15
62.118.80.132
,
пустое
)
Да проблема что start к разным схемам идёт с разной задержкой. Пропустил его через клок, получил start_en. И вот веди start_en на те две схемы.
—
zlyh
(18.05.2005 13:36
80.82.61.29
,
пустое
)
Лучше через два. См. Метастабильность.
—
Oldring
(18.05.2005 13:47
62.213.72.103
,
пустое
)
На счет этого вопрос только что ниже задал... :)
—
vladx
(18.05.2005 13:45
217.12.251.5
,
пустое
)
stop_trg работает как асинхронный сброс. Попробуй его как синхронный clear.
—
zlyh
(17.05.2005 19:17
80.82.61.29
, 124 байт)
Не совсем так....
—
vladx
(17.05.2005 19:45
217.12.251.5
, 754 байт)
Так значит constraints-ы отсутсвуют напрочь. Тогда открываем книжки..
—
zlyh
(18.05.2005 09:10
80.82.61.29
, 148 байт)
ConstrGuide давно открыт... :)
—
vladx
(18.05.2005 09:50
217.12.251.5
, 361 байт)
Ответ:
—
zlyh
(18.05.2005 10:48
80.82.61.29
, 802 байт)
Вопрос...
—
vladx
(18.05.2005 12:19
217.12.251.5
, 889 байт)
Ответ:
—
zlyh
(18.05.2005 13:27
80.82.61.29
, 162 байт)
Понятно... Значит,если
—
vladx
(18.05.2005 13:44
217.12.251.5
, 494 байт)
Ответ:
—
zlyh
(18.05.2005 15:18
80.82.61.29
, 694 байт)
Мне тоже почему-то подумалось, что у вопрошающего проект какой-то немножечко несинхронный.
—
druzhin
(17.05.2005 18:44
80.92.98.198
,
пустое
)
Установка Active-HDL 5.1.1084
—
FrmeFrme
(16.05.2005 18:07
195.64.225.25
, 327 байт)
Ставьте 6.3(+)
—
druzhin
(16.05.2005 19:44
80.92.98.198
, 366 байт)
Ответ: да я б с радостью, но нужно именно 5.1 но на крайний лучай скажи откуда качнуть 6.1. сеньксь
—
FrmeFrme
(17.05.2005 15:34
195.64.225.25
,
пустое
)
Бесплатно с сайта Альдека качай(+)
—
druzhin
(17.05.2005 16:43
80.92.98.198
, 143 байт)
Возможна ли оптимизация приведенного кода VHDL
—
vzhik
(16.05.2005 15:52
81.90.224.197
, 2689 байт)
Ответ:
—
SAZH
(17.05.2005 13:59
212.113.112.201
, 734 байт)
А не подскажешь где такую штуку для Altera посмотреть можно?
—
sirin
(17.02.2006 16:06
81.28.2.164
, 189 байт)
Ответ: Спасибо, но только в Верилоге я ни в зуб ногой, а xst.pdf юзаю.
—
vzhik
(17.05.2005 14:26
81.90.224.197
,
пустое
)
Попробуйте циклический сдвиговый регистр.
—
V61
(17.05.2005 11:11
195.177.119.35
, 4 байт)
Мне кажется, что вот так понятнее
—
Victor®
(16.05.2005 18:42
212.67.84.229
, 4783 байт)
Ответ:
—
vad
(17.05.2005 11:12
217.23.119.145
, 282 байт)
Ответ:
—
SAZH
(16.05.2005 22:19
217.15.19.138
, 129 байт)
Кстати, я сомневаюсь, что "пички" будут. Счетчик синхронный, а выход strob NOR разрядов счетчика. Но подавать его на вход другого счетчика ессно не рекомендуется
—
Victor®
(17.05.2005 10:58
212.67.84.229
,
пустое
)
Ну так пусть не подает на С, а подает на Enable. Вот вариант с синхронным выходом
—
Victor®
(17.05.2005 10:49
212.67.84.229
, 6776 байт)
Спасибо, работает как часы. Премного благодарен всем откликнувшимся!
—
vzhik
(31.05.2005 17:45
81.90.224.197
,
пустое
)
ХММ а зачем для такой простой задачи стейт машину то делать ? Упростить можно
—
des00
(16.05.2005 16:23
80.89.147.5
,
пустое
)
Ответ: Хотелось все в одном процессе слепить. А XST не нашел в коде стейт-машины, почему-то?
—
vzhik
(16.05.2005 16:32
81.90.224.197
,
пустое
)
Имхо если нужно тупо делить то
—
des00
(16.05.2005 16:42
80.89.147.5
, 299 байт)
Тупо не получилось, повторитель какой-то получается. Но может я не прав, еще попробую симульнуть...
—
vzhik
(16.05.2005 17:16
81.90.224.197
,
пустое
)
ааа блин это же не верилог
—
des00
(16.05.2005 17:21
80.89.147.5
, 307 байт)
Если период счета --- степень двойки, то (+)
—
andrew_b
(16.05.2005 16:54
80.82.63.185
, 344 байт)
Ответ: Извиняюсь, что вчера не ответил, мне инет отрубили. А по сути - не получается у меня на 32, на 33 делит, гад!
—
vzhik
(17.05.2005 14:17
81.90.224.197
,
пустое
)
Откуда там 33? 5 разрядов --- это диапазон 0..31. Т. е. делитель максимум на 32.
—
andrew_b
(17.05.2005 14:52
80.82.63.185
,
пустое
)
Ответ: хмм только инвернуть нужно наверное ?? :)) 32 = 10000
—
des00
(16.05.2005 16:56
80.89.147.5
,
пустое
)
пардон не прав :))
—
des00
(16.05.2005 16:59
80.89.147.5
,
пустое
)
Подскажите "глубинное " значение параметра Effort level
—
vladx
(16.05.2005 12:48
217.12.251.5
, 217 байт)
Как сильно он тужится. А constraints-ы мы презираем?
—
zlyh
(16.05.2005 13:34
80.82.61.29
,
пустое
)
Не понял... кто тужится?(+)
—
vladx
(16.05.2005 13:44
217.12.251.5
, 166 байт)
Тужится par. А что нет constraints-ов я подумал из слов "поведение схемы разное".
—
zlyh
(16.05.2005 15:00
80.82.61.29
,
пустое
)
Synplify 8.1 (24 часа на загрузку считая от 09:00 GMT 15.05.05)
—
Victor®
(15.05.2005 12:21
212.67.84.229
,
пустое
,
ссылка
)
где взять ngcbuild?
—
VETALL
(14.05.2005 13:11
82.179.191.126
, 653 байт)
Возник один глобальный вопрос: "ЗАЧЕМ?". Поясняю
—
zlyh
(14.05.2005 14:12
80.82.61.29
, 599 байт)
если я правильно понял...
—
VETALL
(14.05.2005 15:42
82.179.191.126
, 1466 байт)
Ответ:
—
zlyh
(14.05.2005 17:14
80.82.61.29
, 818 байт)
EDK 6.3 i service pack!!
—
PooP
(14.05.2005 12:22
82.207.28.77
, 104 байт)
Ответ: уже вышел 7.1 и сервиспак к нему
—
Dmytry_DP
(15.05.2005 00:36
195.5.61.82
,
пустое
)
Пару вопросов по VHDL
—
ник123
(13.05.2005 16:29
85.140.7.80
, 5066 байт)
С ВХДЛ не давно, но что понял постараюсь прояснить(+)
—
des00
(13.05.2005 16:40
80.89.147.5
, 654 байт)
А можно вопрос - что такое РТФМ?
—
asdf
(13.05.2005 18:02
85.140.7.189
,
пустое
)
Read The Fucking Manual
—
druzhin
(13.05.2005 18:22
80.92.98.198
,
пустое
)
Большое спасибо. Вы настолько крупный специалист по вхдл, что просто диву даешься, насколько глубоки и обширны ваши познания. Вы знакомы даже с таким редким и серьезным трактатом по сему сложному лэнгвиджу, что я просто благоговею перед вашими талантами.
—
asdf
(13.05.2005 22:29
85.140.101.245
,
пустое
)
Добавлю
—
zlyh
(13.05.2005 17:09
80.82.61.29
, 353 байт)
Ответ:
—
ник123
(13.05.2005 17:21
85.140.7.80
, 372 байт)
Подскажите где взять декомпилер jed в equations для xilinx xc3032xl
—
MaxiGroup
(13.05.2005 12:51
80.247.110.190
, 4 байт)
Вернее там надо XCR3032XL
—
MaxiGroup
(13.05.2005 14:52
80.247.110.190
,
пустое
)
Оценить
добрый день. первая попытка написать что-то на AHDL (+)
—
Max_Fly
(13.05.2005 11:32
212.82.216.82
, 432 байт)
address и adress есть разница?
—
ux
(13.05.2005 12:10
81.19.129.250
,
пустое
)
ёпть! всё надо заканчивать работу. пятница 13 так действует...
—
Max_Fly
(13.05.2005 14:24
212.82.216.82
,
пустое
)
Бросайте! Изучайте языки, которые являются промышленными стандартами.
—
andrew_b
(13.05.2005 11:39
80.82.63.185
,
пустое
)
:)) кардинальное решение проблемы :) и что является промышленным стандартом?
—
Max_Fly
(13.05.2005 11:42
212.82.216.82
,
пустое
)
verilog(+)
—
ux
(13.05.2005 12:14
81.19.129.250
, 134 байт)
VHDL и Verilog
—
andrew_b
(13.05.2005 12:13
80.82.63.185
,
пустое
)
Попробуй убрать круглые скобки в присваивании.
—
misyachniy
(13.05.2005 12:12
213.186.211.119
,
пустое
)
День добрый знатоки VHDL просвятите как в нем делаеться репликация ?
—
des00
(13.05.2005 07:53
80.89.147.5
, 755 байт)
Доопределить знаковый...
—
zlyh
(13.05.2005 09:26
80.82.61.29
, 512 байт)
Это был другой xor :-)
—
andrew_b
(13.05.2005 10:08
80.82.63.185
,
пустое
)
Спасибо. andrew_b ответил на электрониксе.
—
des00
(13.05.2005 09:35
80.89.147.5
,
пустое
)
в смысле я ему ответил :))
—
des00
(13.05.2005 09:36
80.89.147.5
,
пустое
)
ламо я пока: не пойму, что за фигня с передачей векторного выхода, если он wire...
—
mezzanine
(13.05.2005 02:45
83.237.105.98
, 375 байт)
Спасибо ответившим! Мне нужно было сразу обратить внимание на ...
—
mezzanine
(13.05.2005 18:17
83.237.59.39
, 518 байт)
Тогда вам будет очень рад принять в свои объятия ВХДЛ(+)
—
des00
(13.05.2005 18:33
80.89.147.5
, 132 байт)
Будет повод еще разок на VHDL взглянуть, хотя читаемость его кода меня не устроила.
—
mezzanine
(13.05.2005 21:10
83.237.59.39
,
пустое
)
хмммм(+)
—
des00
(13.05.2005 07:43
80.89.147.5
, 167 байт)
Как мне обратиться к элементу выходного вектора wire, передаваемого из модуля?
—
mezzanine
(13.05.2005 16:02
83.237.59.39
, 593 байт)
Обяви out2 !!!! ИМХО должно быть так(+)
—
des00
(13.05.2005 17:33
80.89.147.5
, 328 байт)
А что такое 'o'? Где объявлено?
—
dxp
(13.05.2005 07:40
194.226.180.10
,
пустое
)
Помогите с классификацией VHDL моделей и где описание этих моделей можно достать?
—
Арканум
(12.05.2005 04:20
212.248.5.193
, 68 байт)
И "не английские сайты" и "классификацию" оба тянут на unexpected error.
—
zlyh
(12.05.2005 09:15
80.82.61.29
,
пустое
)
Ответ: ну а все-таки существуют ли такие?
—
Арканум
(12.05.2005 15:55
212.248.120.48
, 11 байт)
Такие классификации? О чём это вообще? Такие сайты? Так в этой отрасли родной язык какой?
—
zlyh
(12.05.2005 18:15
80.82.61.29
,
пустое
)
Константы и Xilinx ISE 6.2 (+)
—
kas
(11.05.2005 15:22
213.59.170.11
, 847 байт)
Вычисление элемента массива это globally static expression, не locally. Ограничение языка. Используйте if...
—
zlyh
(12.05.2005 10:45
80.82.61.29
,
пустое
)
Ответ: Так и сделал.
—
kas
(12.05.2005 11:46
213.59.170.11
, 149 байт)
Ответ: Дело может быть в том что эта гадина сильно глюканутая, особенно в части массивов многмерных и агрегатов, так что спросите у самого зайлинкса почему оно у них так ведет, хотя в вашем случае синтезер ругаетя на что то конкретное
—
vitus_strom
(11.05.2005 18:06
212.67.84.229
,
пустое
)
Не, дело в другом. Моделсим, напрмер, ведет себя точно так же. Надо стандарт почитать...
—
andrew_b
(12.05.2005 09:07
80.82.63.185
,
пустое
)
могу конечно ошибаться, ибо не давно с ВХДЛем, но по XST первая запись соотвествует РОМ, и одновременного доступа к ней быть не может.
—
des00
(11.05.2005 17:15
80.89.147.5
,
пустое
)
Ворнинги при объявлении компонентов на vhdl
—
vzhik
(11.05.2005 09:35, 255 байт)
Всё правильно. Не обращай внимания, или читай ануал.
—
druzhin
(11.05.2005 10:28,
пустое
)
Мануал, конечно. Все неописанные тобой собственноручно библиотечные компоненты синтезёр считает чёрными ящиками. Их внутреннюю структуру прицепляет имплементёр.
—
druzhin
(11.05.2005 10:30,
пустое
)
Я так себе это и представлял. Спасибо. Думаю фраза "код в студию" уже нет актуальна.
—
vzhik
(11.05.2005 11:01
81.90.224.197
,
пустое
)
Телепатические способности у меня весьма скромные, но кое-что мне понятно. Но все равно код в студию!
—
andrew_b
(11.05.2005 10:24,
пустое
)
симплифи 8.0 (пишу на veriloge) не правильно складывает знаковые с безнаковыми,
—
vova75
(11.05.2005 05:31, 357 байт)
A = $signed(B) + &unsigned(C), или A = {{4{B[3]}},B} + {{6{C[1]}},C}
—
des00
(11.05.2005 08:16,
пустое
)
A = $signed(B) + $unsigned(C) естественно
—
des00
(11.05.2005 08:17,
пустое
)
Мне надо A[7:0] = {{4{B[3]}},B[3:0]} + {{6{1'b0}},C[1:0]} ,
—
Vova75
(12.05.2005 01:42
82.179.140.17
, 561 байт)
е сказал ручками прописать A[7:0] = {{4{B[3]}},B[3:0]} + {{6{С[1]}},C[1:0]
—
des00
(12.05.2005 10:08
80.89.147.5
,
пустое
)
Xilinx 6.3 и микросхемы 4000 серии- совместимость!!!
—
PooP
(09.05.2005 12:35, 280 байт)
Я пытался присобачить библиотеки спартана-1 от F4.1 к ИСЕ6.3.Ооооочень долго трахался, вручную правил библиотеки и кое-какое файло из 6.3. Не получилось.
—
druzhin
(10.05.2005 16:02,
пустое
)
Нужно генератор лицензий для LogicCore PCI Interface.
—
Dmytry_DP
(07.05.2005 19:31, 77 байт)
Ну и чего ты хочешь, если не оставил почту? Куда я тебе этот лицген вышлю? Так что большой хрен тебе, а не ПиСиАй!
—
druzhin
(11.05.2005 19:53
80.92.98.198
,
пустое
)
у меня есть EPM3128 к ней подведено 20 МГц хочу внутри иметь 40 как это зделать ? или это никак не делается ?
—
MALLOY
(06.05.2005 12:04,
пустое
)
Вот (-)
—
dachny
(06.05.2005 14:02,
пустое
,
ссылка
)
Ответ:
—
des00
(06.05.2005 12:11,
пустое
)
Линк съелся
—
des00
(06.05.2005 12:12,
пустое
,
ссылка
)
Модуль деления на переменную (Verilog) - как его сделать??? (+)
—
Avsetaki
(05.05.2005 10:11, 231 байт)
Получите.
—
V61
(10.05.2005 11:21, 762 байт)
Спасибо, модуль работает, получаются те же 31nS для APEXII. Попробую разобраться с методами ускорения
—
Avsetaki
(10.05.2005 11:59,
пустое
)
Посмотрите результирующую схему,
—
V61
(10.05.2005 12:25, 99 байт)
Как это не должно быть мультиплексоров ? (+)
—
SM
(11.05.2005 09:40, 168 байт)
Да нет.
—
V61
(11.05.2005 11:49
195.245.194.142
, 272 байт)
Однако LPM генерирует именно схему (+)
—
SM
(11.05.2005 11:58
213.141.159.26
, 91 байт)
Я помню.
—
V61
(11.05.2005 12:11
195.245.194.142
, 162 байт)
Ответ: (+)
—
SM
(11.05.2005 12:18
213.141.159.26
, 357 байт)
Альтеровский LPM (+)
—
SM
(09.05.2005 23:47, 561 байт)
откуда берём xport? (синтезю на Synplify80, там поддержка деления на 2^n только)
—
Avsetaki
(10.05.2005 11:30,
пустое
)
читаем FAQ и смотрим, что он идет в составе ISE
—
SM
(11.05.2005 09:08,
пустое
)
Если потерьпите до вторника, вышлю.
—
V61
(06.05.2005 11:23, 98 байт)
нет, что-то не могу я найти. Буду рад если поможете. Пока что работает вариант Сергея(+)
—
Avsetaki
(06.05.2005 12:27, 116 байт)
Я не помню характеристик.
—
V61
(06.05.2005 12:45, 296 байт)
ОК, вторник. Результат по скорости после компиляции нетлиста на квартусе 31nS
—
Avsetaki
(06.05.2005 14:03,
пустое
)
Лови
—
-=Sergei=-
(05.05.2005 17:52, 1484 байт)
уточните конструкцию(+)
—
Avsetaki
(05.05.2005 18:02, 171 байт)
Может поможет (URL), реализуйте алгоритм
—
Builder
(05.05.2005 17:17,
пустое
,
ссылка
)
спасибо, попробую разобраться
—
Avsetaki
(05.05.2005 18:21,
пустое
)
В ActivHDL есть coregenerator он на VHDL делает
—
cdg
(05.05.2005 13:12,
пустое
)
ActivHDL у меня нету, да и нужен именно Verilog. Может есть ещё какие идеи?
—
Avsetaki
(05.05.2005 14:49,
пустое
)
На верилоге написать алгоритм так: в блоке `always @*` применить `blocking assignment`.
—
druzhin
(05.05.2005 15:30,
пустое
)
а если делитель не являеться степенью двойки ??
—
des00
(05.05.2005 16:05,
пустое
)
можно подробнее pls?
—
Avsetaki
(05.05.2005 15:34,
пустое
)
а в чем проблема перейдти от синхронного к асинхронному делителю ? заменить <= на = ??
—
des00
(05.05.2005 16:08,
пустое
)
Не выходит так просто заменить non-blocking на blocking (+)
—
Avsetaki
(05.05.2005 16:53, 1165 байт)
Не пизди, всё выходит простой заменой always @(posedge clk) на always @*. Синтезёр - Симплифи 80.
—
druzhin
(05.05.2005 17:13,
пустое
)
:))))))))) . Синтезёр - синплифай, а симулёр кто? Квартус такую замену тоже глотает - не давится, а на выходе - враньё
—
Avsetaki
(05.05.2005 17:57,
пустое
)
Простите, Вы правы. Надо как-то убрать итерацию.
—
druzhin
(05.05.2005 19:11,
пустое
)
хмм ну а если "развернуть" во времени сие ?? т.е. делать не итерационно а по стадиям ?
—
des00
(05.05.2005 17:13,
пустое
)
Да, пока у меня есть только такой вариант. Буду его пробовать.
—
Avsetaki
(05.05.2005 17:23,
пустое
)
Народ, а не знает ли кто, где достать полную версию uVision v2.40a он же Keil v750?
—
ivfilatov
(01.05.2005 04:25,
пустое
)
Evita_VHDL.exe
—
an555
(01.05.2005 02:30, 88 байт)
Вот здесь твоя Evita на русском
—
vvvvv
(11.05.2005 07:28,
пустое
,
ссылка
)
Ответ:
—
an555
(01.05.2005 20:13, 84 байт)
Я не слышал ничего о русской эвите. И чьём-нибудь желании её русифицировать.
—
druzhin
(03.05.2005 12:03,
пустое
)
Ответ:
—
an555
(03.05.2005 19:39, 82 байт)
Берете какойнибудь ресурседитор и переколачиваете ручками ресурсы метода то в приципе стандартная (-)
—
dachny
(04.05.2005 11:08,
пустое
)
Ответ:
—
an555
(05.05.2005 01:40, 83 байт)
У какогонибудь програмера спросите(-)
—
dachny
(05.05.2005 07:52,
пустое
)
Ответ:
—
an555
(08.05.2005 04:18, 11 байт)
MS VC 7.1 Например (-)
—
dachny
(11.05.2005 13:29
80.242.79.250
,
пустое
)
Подскажите начинающему в AHDL (Max+plus)
—
двоеШник
(29.04.2005 17:24, 285 байт)
Ещё год назад я бы сказал, что начинать с МАКСа это всё равно, что постигать премудрости любви с уродливой и глупой бабищей. А сейчас это ваще некрофилия.
—
druzhin
(04.05.2005 14:58,
пустое
)
Ответ: М да скоко было проблем с моей коркой PCI :) ...но блин усё таки работает..РАБАЕТ!!!...ну правдо иногда возникает конфликт и комп. перезагуржается..но это ничего :)))))...с праздниками!!!!
—
Super_Elecric
(08.05.2005 01:38,
пустое
)
надо myShift[].d=(myShift[6..0], myShift[7])
—
Builder
(29.04.2005 20:11,
пустое
)
Спасибо, замигало! :)
—
двоеШник
(30.04.2005 00:20,
пустое
)
Как ускорить процесс компиляции в Квартусе4?
—
Trashy
(28.04.2005 11:31, 459 байт)
хмм проект на чем написан ??
—
des00
(28.04.2005 11:37,
пустое
)
Всё в перемешку, несколько человек работало...
—
Trashy
(28.04.2005 11:42, 67 байт)
это не есть гуд (+)
—
des00
(28.04.2005 14:40, 406 байт)
Намыльте плиз адресок где можно выкачать A*c*t*i*v*H*D*L полную версию. Мыло внутре (@)
—
wolf
(27.04.2005 08:13, 16 байт)
Качай халявную веб-версию и переделывай в полную. Смотри ссылку.
—
druzhin
(03.05.2005 13:18,
пустое
,
ссылка
)
Помогите, пожалуйста :)
—
Tatiana
(26.04.2005 16:07, 585 байт)
Ответ:
—
S1nfuL
(01.05.2005 07:16, 1039 байт)
Спасибо!!:)
—
Tatiana
(06.05.2005 10:55,
пустое
)
Ответ: По первому вопросу примерно так...
—
Apast
(28.04.2005 08:23, 1104 байт)
Спасибо большое!!!! :))
—
Tatiana
(29.04.2005 14:23,
пустое
)
Ответ:
—
Tatiana
(27.04.2005 10:59, 59 байт)
Вас даже несколько и не можете учебник прочитать !!!! вуз то хоть какой ??
—
des00
(27.04.2005 11:20,
пустое
)
почему это несколько?:)) вуз московский:) почему бы вам не помочь если вы хорошо разбираетесь в этой области:))
—
Tatiana
(27.04.2005 13:59,
пустое
)
5000
—
des00
(27.04.2005 14:55,
пустое
)
это что, номер лото?:)
—
Tatiana
(27.04.2005 15:29,
пустое
)
Мдя... Это я ещё с ослом нормально попал (@КВН)
—
A_S_N
(26.04.2005 22:13, 242 байт)
и ключ от квартиры где деньги лежат! и быстро! :-)
—
Postoroniy_v
(26.04.2005 16:56,
пустое
)
хмм вам лень или какие то более весткие причины ??
—
des00
(26.04.2005 16:43,
пустое
)
Как уже сказали - сесечные на носу, какой универ интересно.
—
Builder
(26.04.2005 18:33,
пустое
)
Если это та Tatiana, про кототую я думаю, тогда это - МИЭТ.
—
druzhin
(03.05.2005 14:30,
пустое
)
ого! Интересно , много здесь МИЭТовцев?
—
Alee
(06.05.2005 16:11,
пустое
)
Ответ: и ты тоже с нами учишся ? :)
—
ds
(04.05.2005 17:15,
пустое
)
Нет. И не учился.
—
druzhin
(04.05.2005 17:56,
пустое
)
:)) я это понимаю, но то что нужно сделать описанно в любом учебнике по цифровой смехотехнике
—
des00
(27.04.2005 07:02,
пустое
)
Подсчёт количества единиц или нулей на Altera HDL исп. MAX+?
—
evgenchik
(25.04.2005 23:40, 232 байт)
Привет Барс. Давненько тебя здесь не было, мы соскучились. На протяжении нескольких страниц конфы евгеньчик не может понять чего ему надо - чётность, количество искл-или, мажоритарность. Единственное, что понятно - емуманиакально нравиться МАКС-схематик и вызывают омерзение любые ХДЛ. Барс, вспомни притчу про бисер и свиней и не трать на евгеньчика время, лучше с нами о чём-нибудь пофлейми.
—
druzhin
(03.05.2005 16:55,
пустое
)
И я Вас тоже привествую! Со всеми прошедшими праздниками! Наконец-то закончились эти каникулы :)
—
Барс
(11.05.2005 10:26,
пустое
)
насколько я поняла, сделать можно, только получается некрасиво и непараметризируемо
—
Барс
(29.04.2005 14:01, 60 байт)
Как в VHDL внести задержку на время прохождения сигнала через одну ячейку?
—
albor
(25.04.2005 17:57, 223 байт)
Ответ: если схема синхронная...
—
Mad Makc
(25.04.2005 19:04, 306 байт)
А сбрасывать чем?
—
albor
(25.04.2005 19:34, 114 байт)
Ответ: а ничем !
—
Mad Makc
(26.04.2005 14:26, 211 байт)
Ответ(+)
—
fire-ash
(26.04.2005 13:58, 174 байт)
Казнить нельзя помиловать
—
Oldring
(02.05.2005 17:43, 163 байт)
Неправда Ваша! У меня уже все работает!
—
albor
(04.05.2005 21:08, 207 байт)
Ну, есть же все-таки разница между "у меня уже работает" и "у меня в серии работает надежно".
—
Oldring
(04.05.2005 23:25, 246 байт)
У меня это работало на AHDL в серии более500шт, теперь новый на XILINX, надеюсь будет работать не хуже
—
albor
(05.05.2005 14:05,
пустое
)
Поздравляю, это уже некоторая статистика, но (+)
—
Oldring
(06.05.2005 18:21, 377 байт)
Да в общем практика критерий истинности
—
albor
(01.06.2005 12:53
217.195.80.74
, 459 байт)
Я тоже так делал (+)
—
A_S_N
(06.05.2005 18:41, 259 байт)
Это доказательство?
—
Oldring
(09.05.2005 15:36, 1947 байт)
С этим не поспоришь :).
—
A_S_N
(11.05.2005 17:02
193.194.153.251
,
пустое
)
ага - сейчас бодаюсь аналогично(+)
—
net
(10.05.2005 22:04, 265 байт)
Да... Когда еще и нет возможности добиться от коллег принятия обоснованных решений по общему проекту - вообще жопа. (+)
—
Oldring
(11.05.2005 16:20
62.213.72.103
, 466 байт)
Спасибо!
—
albor
(26.04.2005 16:50, 79 байт)
Кто знает, как в ModelSimе вставлять текстовые строки в окне wave (что-то типа комментариев к таймингам)
—
vzhik
(25.04.2005 17:11,
пустое
)
Может через divider?
—
Fat Robot
(25.04.2005 17:16, 53 байт)
библия по vhdl
—
VETALL
(25.04.2005 11:15, 109 байт)
В какое место подсказывать? (Почту давай.)
—
zlyh
(25.04.2005 13:12,
пустое
)
Ответ: можно и мне, заранее спасибо
—
Dmytry_DP
(07.05.2005 16:33, 15 байт)
и я очень хочу
—
dimmil
(30.04.2005 15:02, 20 байт)
И мне пожалуйста, благодарю !
—
wolf
(27.04.2005 08:12, 16 байт)
пасиб, поймал.
—
wolf
(27.04.2005 18:09,
пустое
)
можно и мне библию, заранее спасибо
—
vzhik
(25.04.2005 15:56, 18 байт)
Ответ:
—
VETALL
(25.04.2005 14:16, 164 байт)
будет djvu. Реальная книга на Амазоне около 100 баксов. Талмут около 500 страниц, можно будет ещё и от бабушек отбиваться.. :-) http://fpga-faq.narod.ru/
—
zlyh
(25.04.2005 15:22,
пустое
)
Ответ:
—
VETALL
(25.04.2005 15:48, 16 байт)
Ответ: А где?
—
serp633
(29.04.2005 13:38,
пустое
)
Привет всем! Подскажите где можно найти функциональные схемы программ LeonardoSpectrum и MAX+Plus II. Которые отображают принцип работы программ и взаимосвязь внутренних блоков. Спасибо
—
Roman77
(21.04.2005 22:22, 189 байт)
Как на VHDL упростить описание автомата(+)
—
переходящий от AHDL к VHD
(21.04.2005 15:49, 280 байт)
Если у вас под case стоит выражение типа integer, то в ветках можно задавать диапазоны (+)
—
urri
(22.04.2005 17:51, 215 байт)
По итогам обсуждения один из вариантов(+)
—
переходящий от AHDL к VHD
(22.04.2005 16:03, 333 байт)
И всё же ответа нету. Кто знает и Verilog и VHDL?(+)
—
переходящий от AHDL к VHD
(22.04.2005 12:10, 208 байт)
без if elsif в квартусе никак, imho
—
Postoroniy_v
(22.04.2005 15:07, 906 байт)
Ага, видел такие ворнинги(+)
—
переходящий от AHDL к VHD
(22.04.2005 15:22, 119 байт)
оба языка знать - не повредит(-)
—
Postoroniy_v
(22.04.2005 15:41,
пустое
)
Ответ:
—
переходящий от AHDL к VHD
(22.04.2005 15:43,
пустое
)
Без сомнения.
—
переходящий от AHDL к VHD
(22.04.2005 15:45,
пустое
)
Можно сделать так (+)
—
A_S_N
(21.04.2005 22:54, 256 байт)
Ответ: когда то пробывал через don't care ('-') синтезатор меня понял не правильно я думаю что так, а синтезер уже поймет что на это не стоит обращать внимание
—
vitus_strom
(21.04.2005 17:28, 109 байт)
Ответ (+)
—
andrew_b
(21.04.2005 16:22, 138 байт)
Ессно не в тривиальный случай интересен(+)
—
переходящий от AHDL к VHD
(21.04.2005 16:31, 259 байт)
Ну а этот самый don't care использовать не получается?
—
andrew_b
(21.04.2005 16:43, 373 байт)
Ответ: (+)
—
переходящий от AHDL к VHD
(21.04.2005 16:50, 126 байт)
Заполнить форму для отправки сообщения
|||
Телеконференции
|||
Главная страница
|||
Конференция без кадра
|||
Архив без кадра