«Телесистемы»:
Конференция «Языки описания аппаратуры (VHDL и др.)»
Страницы:
Текущая
62
61
60
59
58
57
56
55
54
53
52
51
50
49
48
47
46
45
44
43
42
41
40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
[an error occurred while processing this directive]
Новое сообщение
Регистрация
Телеконференции
——> Выберите конференцию
Микроконтроллеры <03.01.2024 22:59>
Программируемые логические схемы <03.01.2017 20:54>
Языки описания аппаратуры (VHDL и др.) <23.12.2018 20:16>
Цифровые сигнальные процессоры (DSP) <31.08.2023 09:00>
Аналоговая схемотехника <16.06.2022 18:58>
Голосования <08.12.2022 22:35>
Не подскажите - Проектиромание цифровых систем на VHDL / А. Е. Суворова, Ю. Е. Шейнин - стоящая книга?
—
KykyryzzZ
(23.10.2005 17:45
80.92.96.26
,
пустое
)
Рекомендую: Синтез логических схем с использованием языка VHDL. П. Бибило .
—
172x
(24.10.2005 14:48
84.246.64.87
,
пустое
)
Поздно, уже купил, вроде пока норм Ж)
—
Oleg
(24.10.2005 18:18
82.179.191.126
,
пустое
)
Несколько вопросов по VHDL
—
gen
(20.10.2005 21:34
85.140.173.193
, 3763 байт)
Ответ(+)
—
andrew_b
(21.10.2005 09:08
80.82.63.185
, 1057 байт)
Ответ: точнее вопрос
—
gen
(21.10.2005 23:31
83.237.252.178
, 296 байт)
Да-да, в FPGA лучше всего работать со схемой с одним активным фронтом одного клока (+)
—
Oldring
(21.10.2005 11:59
83.237.132.164
, 304 байт)
Хмм не всегда, тут нужно изучить thold/tsetup подходят ли они для однофазной синхронизации (+)
—
des00
(21.10.2005 13:31
80.89.147.5
, 173 байт)
Зачем гадать?
—
Oldring
(22.10.2005 12:28
83.237.132.189
, 343 байт)
Хмм вы немного не так меня поняли (+)
—
des00
(24.10.2005 11:22
80.89.147.5
, 1140 байт)
Да нет, мне кажется, я Вас понял совершенно правильно. (+)
—
Oldring
(24.10.2005 12:57
83.237.133.13
, 872 байт)
У нас с вами спор идет все таки о разных вещах,(+)
—
des00
(24.10.2005 13:25
80.89.147.5
, 644 байт)
Уже гораздо интереснее (+)
—
Oldring
(25.10.2005 16:34
83.237.133.28
, 298 байт)
да пример был(+)
—
des00
(26.10.2005 10:59
80.89.147.5
, 571 байт)
Да, это похоже на баг ксилинков. (+)
—
Oldring
(26.10.2005 17:22
62.213.72.103
, 176 байт)
Если вы про инверсию клока(+)
—
des00
(26.10.2005 17:43
80.89.147.5
, 435 байт)
Нет, я имел в виду другое (+)
—
Oldring
(26.10.2005 19:17
83.237.132.196
, 102 байт)
Ответ:
—
SAZH
(24.10.2005 15:42
212.113.112.201
, 607 байт)
Ответ(+):
—
des00
(24.10.2005 16:37
80.89.147.5
, 940 байт)
Ответ:
—
SAZH
(24.10.2005 17:10
212.113.112.201
, 526 байт)
как где :) на самом компоненте :)) у них можно клок развернуть внутях(+)
—
des00
(24.10.2005 17:14
80.89.147.5
, 182 байт)
Спасибо.
—
SAZH
(24.10.2005 17:38
212.113.112.201
,
пустое
)
Ответ:
—
SAZH
(24.10.2005 15:50
212.113.112.201
, 375 байт)
Не подскажите? что выгоднее написать: IF else или все в виде функции например A=!C&B!#D...
—
Oleg
(20.10.2005 15:48
82.179.191.126
,
пустое
)
А можно ради самообразования, как расшифровывается A=!C&B!#D...?
—
gen
(21.10.2005 23:25
83.237.252.178
,
пустое
)
Ответ:
—
SAZH
(22.10.2005 22:06
217.15.19.230
, 188 байт)
синтезу все равно, время моделирования может сильно отличаться
—
id_gene
(21.10.2005 08:51
193.232.173.111
, 217 байт)
Ответ:
—
SAZH
(21.10.2005 12:22
212.113.112.201
, 70 байт)
1 проверить на практике, 2. от того как вы будете писать зависит
—
des00
(20.10.2005 17:52
80.89.147.5
,
пустое
)
Философский вопрос...
—
Oleg
(19.10.2005 13:51
82.179.191.126
, 370 байт)
Ответ: (+)
—
dxp
(21.10.2005 09:20
194.226.180.10
, 966 байт)
Лучший язык программирования - припой (+)
—
Shtirlits
(20.10.2005 15:25
83.149.205.223
, 269 байт)
Схема - это не панацея (+)
—
Oldring
(20.10.2005 15:56
62.213.72.103
, 281 байт)
Я об этом и не подумал (+)
—
Shtirlits
(20.10.2005 20:30
83.149.205.223
, 300 байт)
:) Такя же проблема!
—
Igor A.
(20.10.2005 11:17
82.140.86.135
, 299 байт)
Так не надо делать двойную работу.
—
kolega
(20.10.2005 10:46
80.250.160.158
, 35 байт)
Надо радоваться, что в голове схема проявляется :) Тут есть два типа разработчиков: от схемотехников и от программистов. Пожалуй Вы из первой группы :)
—
Victor®
(19.10.2005 20:15
212.67.84.229
,
пустое
)
Я из программистов, но терпеть не могу программы :), пока в них блин, разберешься...
—
Igor A.
(20.10.2005 12:24
82.140.86.135
,
пустое
)
Может быть, Вы зря переметнулись в ПРОГРАММИРУЕМУЮ логику?
—
Oldring
(21.10.2005 12:00
83.237.132.164
,
пустое
)
Ответ:
—
SAZH
(19.10.2005 20:55
217.15.19.173
, 59 байт)
ИМХО а зачем ее выбивать ? (+)
—
des00
(19.10.2005 14:24
80.89.147.5
, 563 байт)
когда схема станет большой - все пройдет само собой
—
172x
(19.10.2005 14:08
84.246.64.87
,
пустое
)
resolved subtypes в VHDL (+)
—
Oldring
(19.10.2005 13:40
83.237.133.172
, 790 байт)
Хелп! Как в ВХДЛ внутри процесса ожидать изменения тактового импулса ?
—
Larionov
(18.10.2005 16:05
193.30.244.198
, 125 байт)
Ответ:
—
DM642
(21.10.2005 01:32
66.238.211.222
, 122 байт)
Зависит от того, требуется ли синтезируемость и чем синтезировать.
—
Oldring
(19.10.2005 13:26
83.237.133.172
, 521 байт)
Ответ:
—
Кн
(18.10.2005 16:41
213.33.250.250
, 326 байт)
Извините, пока писал уже ответили (+)
—
Кн
(18.10.2005 16:48
213.33.250.250
, 43 байт)
А теперь подумай что спросил, посмотри пособие по ВХДЛ на руском и/или стандарт и спроси еще раз,
—
des00
(18.10.2005 16:40
80.89.147.5
,
пустое
)
то что нельза wait в процессах со списком чувствительности - это я понял, я не знаю чем wait можно заменить в таком случае
—
Larionov
(18.10.2005 16:56
193.30.244.198
,
пустое
)
Ответ: нет под рукой литературы, а знаний не хватает пока, учусь я, вот и задал такой вопрос. А всё таки - как сделать ?
—
Larionov
(18.10.2005 16:53
193.30.244.198
,
пустое
)
Конфу из принципа не читаем ?? на прошлой/позапрошлой странице я постил линки на руское введение в ВХДЛраз 5, трафик у вас есть (по крайней мере что бы сюда ходить) :) (+)
—
des00
(18.10.2005 18:26
80.89.147.5
, 223 байт)
Вообще возможно. Но не синтезабельно. (+)
—
andrew_b
(18.10.2005 16:39
80.82.63.185
, 357 байт,
ссылка
)
Чего-то я не понял: конструкция внутри процесса вида wait until rising_edge(clk) отлично синтезируется.
—
Gate
(24.10.2005 23:06
81.222.206.162
,
пустое
)
Упс! Сорри, похоже я глюкнул - такое возможно, если у процесса нет списка чувствительности
—
(24.10.2005 23:23
81.222.206.162
, 146 байт)
Блин, все время забываю удалить ссылку. :-(
—
andrew_b
(18.10.2005 16:40
80.82.63.185
,
пустое
)
CADENCE IC 5251
—
konfeta
(14.10.2005 08:21
87.224.200.2
, 26 байт)
Надо или есть? Если есть, то SOC какой?
—
SM
(14.10.2005 09:43
213.141.159.26
,
пустое
)
Ответ:
—
konfeta
(14.10.2005 11:06
87.224.200.2
, 36 байт)
Я бы тоже не отказался :) так как аналогичная ситуация.
—
SM
(14.10.2005 11:18
213.141.159.26
,
пустое
)
Where to fine Synthesis tools for PALs
—
Tel
(14.10.2005 03:19
82.81.219.173
, 84 байт)
WinCUPL
—
misyachniy
(17.10.2005 15:02
213.186.204.170
,
пустое
,
ссылка
)
КТО-НИБУДЬ!!! объясните доступно если можно...
—
Oleg
(13.10.2005 16:51
82.179.191.126
, 426 байт)
Ответ: Да кстати слышал мнение что верилог не такой строгий язык в смысле проверки типизации данных...
—
vitus_strom
(14.10.2005 11:22
212.67.84.229
,
пустое
)
Да, там вообще нет таких типов, там типы имеют другой смысл, что и есть самая главная прелесть языка.
—
SM
(14.10.2005 11:23
213.141.159.26
,
пустое
)
Захотите идти дальше чем ПЛИС, в заказные микросхемы, увидите, что нужен будет verilog и даже verilog-a. А VHDL не помешает так, для общего развития, как паскаль у программистов. Хотя к сведению (+)
—
SM
(13.10.2005 23:45
213.141.159.26
, 220 байт)
Ответ:
—
SAZH
(13.10.2005 22:56
217.15.19.180
, 187 байт)
Вот
—
A_S_N
(13.10.2005 22:12
62.33.222.156
,
пустое
,
ссылка
)
Все честные голосуют за русский матерный.
—
Igor A.
(20.10.2005 11:36
82.140.86.135
,
пустое
)
Ответ: Есть мнение что тому кто пришел из програмирования (Си) легче с верилогом, кто из рисования схем тому легче с ВХДЛ, а так примерно одинаковые языки, еще в европе больше распространен ВХДЛ, в Америке Верилог, и в тем и в другом есть свои плюсы и минусы...
—
vitus_strom
(13.10.2005 19:37
212.67.84.229
,
пустое
)
Перефразируя (+)
—
Oldring
(14.10.2005 15:31
62.213.72.103
, 401 байт)
Ответ:
—
SAZH
(13.10.2005 22:51
217.15.19.180
, 317 байт)
Ну ну.... не забудьте в конце каждого поста добавлять: IMHO
—
Зок Мёдов
(20.10.2005 15:45
212.34.54.6
,
пустое
)
Ответ:
—
SAZH
(21.10.2005 00:02
217.15.19.202
, 42 байт)
Ответ: Не знаю, я выбрал ВХДЛ хотя смотрел оба языка, и пришел я как раз от схемы, и чем отличается data(3 downto 0) от data[3:0] - только писать больше...
—
vitus_strom
(14.10.2005 11:20
212.67.84.229
,
пустое
)
Ответ:
—
SAZH
(14.10.2005 13:26
212.113.112.201
, 25 байт)
Ответ:
—
SAZH
(13.10.2005 17:51
212.113.112.201
, 349 байт)
Ответ: Мне тоже кажется VHDL читается лучше(+)
—
Dmv
(21.10.2005 14:34
81.169.229.70
, 348 байт)
Я бы не торопился утверждать, что верилог читается лучше чем VHDL. А мне кажется, что совсем наоборот.
—
Зок Мёдов
(20.10.2005 15:48
212.34.54.6
,
пустое
)
Добавлю(+)
—
des00
(13.10.2005 17:56
80.89.147.5
, 559 байт)
Вопрос по ФАПЧ.
—
Figaro
(13.10.2005 15:42
83.171.70.146
, 162 байт)
Что ФАПЧ'ить то? Точность какая, область захвата, полоса удержания? Поподробнее.
—
A_S_N
(13.10.2005 22:09
62.33.222.156
,
пустое
)
Ответ-Вопрос (+)
—
Figaro
(17.10.2005 10:14
83.171.70.146
, 247 байт)
Если поток NRZI, то (+)
—
A_S_N
(17.10.2005 16:07
193.194.153.251
, 430 байт)
Ответ(+)
—
Figaro
(17.10.2005 16:24
83.171.70.146
, 629 байт)
Откройте новую тему на "Электрониксе". Я с картинками постараюсь ответить. З.Ы. ЕМНИС - это Если Мне Не Изменят Склероз, то есть не помню я точно :)
—
A_S_N
(17.10.2005 16:42
193.194.153.251
,
пустое
)
Прости за тупой вопрос: "на Электрониксе" Это где???
—
Figaro
(17.10.2005 16:46
83.171.70.146
,
пустое
)
Тут
—
A_S_N
(17.10.2005 17:15
193.194.153.251
,
пустое
,
ссылка
)
Понял Спасибо!!
—
Figaro
(17.10.2005 17:17
83.171.70.146
,
пустое
)
Если полностью цифровая ФАПЧ - почитайте по ссылке
—
Builder
(17.10.2005 14:42
81.25.47.235
,
пустое
,
ссылка
)
Да я это нашел, даже файл нашел(+)
—
Figaro
(17.10.2005 15:10
83.171.70.146
, 317 байт)
Ну, что тут сказать, если тупо передрать-то да, если подкорректировать - то (+)
—
Builder
(17.10.2005 18:39
81.25.47.235
, 268 байт)
Вопрос(+)
—
Figaro
(20.10.2005 11:09
83.171.70.146
, 142 байт)
Вот тут(+)
—
Figaro
(20.10.2005 10:54
83.171.70.146
, 59 байт)
Может я не в той ветке вопрос задал? А?
—
Figaro
(17.10.2005 12:09
83.171.70.146
,
пустое
)
Подскажите пожалуйста: нужен реализованный I2C slave для Flex10k. смотрел на opencores, но там только для master.
—
Oleg
(13.10.2005 15:13
82.179.191.126
,
пустое
)
А мастеру не всё равно? (Спецификация есть на Филипсе.)
—
zlyh
(13.10.2005 18:36
80.82.61.29
,
пустое
)
вопрос знатокам :) текст внутри.
—
Larionov
(12.10.2005 18:54
193.30.244.198
, 366 байт)
Ну дык естественно (+)
—
andrew_b
(13.10.2005 09:24
80.82.63.185
, 214 байт)
надо реализовать набор логических операций ( or xor nor and ) между акамулятором и куском шины data? а какую из книг посоветуете почитать ?
—
Larionov
(13.10.2005 13:55
193.30.244.198
,
пустое
)
Логические операции описаны в наиболее вам доступной книге по VHDL.
—
andrew_b
(13.10.2005 15:41
80.82.63.185
,
пустое
)
... А как их красиво реализовать смотри в исходниках библиотек (use ieee....)
—
zlyh
(13.10.2005 18:38
80.82.61.29
,
пустое
)
HELP! Объясните новичку на примере как писать тестбенчи в VHDL ?
—
ILarionov
(11.10.2005 17:48
193.30.244.198
,
пустое
)
На мыло вам ушло Wishbone Lib, там показательные тестбенчи посмотрие :) заодно мобыть шина понравиться
—
des00
(11.10.2005 18:16
80.89.147.5
,
пустое
)
Ответ: а на русском тестбенча маленького с каментами - что, зачем и где при написании тестбенча не завалялось ?
—
ILarionov
(11.10.2005 18:34
193.30.244.198
,
пустое
)
Ответ: Спасибо, буду разбираться
—
ILarionov
(11.10.2005 18:29
193.30.244.198
,
пустое
)
Добрый день господа! Знатоки ВХДЛ просвятите следующий момент(+)
—
des00
(11.10.2005 13:45
80.89.147.5
, 818 байт)
Ответ: А без этого работает? Это ж были учебные примеры и народ сделал все как положено
—
imsushka
(11.10.2005 14:34
217.12.81.149
,
пустое
)
Конечно работает :)
—
des00
(11.10.2005 15:32
80.89.147.5
, 55 байт)
Тайного смысла нет.
—
zlyh
(11.10.2005 14:32
80.82.61.29
, 197 байт)
Хмм подобное там во всех файлах библиотеки
—
des00
(11.10.2005 15:38
80.89.147.5
,
пустое
)
Это чтоб лишней защелки не было...
—
vadx
(11.10.2005 14:28
217.12.251.5
,
пустое
)
какая зашелка в синхронном процессе ?? :)))
—
des00
(11.10.2005 15:33
80.89.147.5
,
пустое
)
Это для унификации, если есть if - должен быть else, что бы не было наоборот - и клока нет и els'a ....))))
—
vladx
(11.10.2005 18:43
217.12.251.5
,
пустое
)
Поделитесь пожалуйста лекарством для Synplify Pro 8.1 или 8.2
—
vitalish
(11.10.2005 10:37
212.143.147.156
, 18 байт)
Уже 3-е письмо отослал, не доходять до вас письма
—
des00
(11.10.2005 15:40
80.89.147.5
, 340 байт)
Уже 3-е письмо отослал, не доходять до вас письма
—
des00
(11.10.2005 15:40
80.89.147.5
, 337 байт)
С гугловыми ящиками всегда проблемы, ничего туда с российских яндексов, мейлов.ру и подобного не мылится. На уй пошли этот Гмейл, заведи ящик на яндексе и только тогда проси кряки. Я отправил, вроде дошло.
—
druzhin
(12.10.2005 13:25
80.92.98.198
,
пустое
)
Всё получено, спасибо. Гугль рулит. А атачьменты проверяются незатейливо, просто по расширению файла. Достаточно его слегка подправить и всё путём.
—
vitalish
(12.10.2005 14:07
212.143.147.156
,
пустое
)
Не нравится ему атачмент как видно. Поменяйте пожалуйста расширение (exe -> ex например)
—
vitalish
(11.10.2005 19:44
212.143.147.156
,
пустое
)
Так, для справки: AHDL это AlteraHDL , ActiveHDL или это одно и то же ???
—
?:)
(10.10.2005 16:45
82.179.191.126
,
пустое
)
AHDL - это Altera HDL. А ActiveHDL обычно просто Active'вом зовут :).
—
A_S_N
(10.10.2005 17:05
193.194.153.251
,
пустое
)
Post-synthesis simulation для Active-HDL?
—
human
(10.10.2005 11:57
83.234.128.11
, 156 байт)
Можно вопрос: какой сермяжный смысл в этом моделировании? (+)
—
dxp
(11.10.2005 08:42
194.226.180.10
, 724 байт)
По скольку HDL для меня в новинку, то смысл следующий... (+)
—
human
(11.10.2005 13:23
83.234.128.11
, 383 байт)
Ответ: (+)
—
dxp
(11.10.2005 16:55
194.226.180.10
, 384 байт)
Будьте проше, если не знаете что делать, запустите просто алдековский дефоьтный скрипт, остальное будет понятно интуитивно. и Ждите о великие тормоза (если схема сложнее пары тригеров конечно) :)
—
des00
(10.10.2005 18:08
80.89.147.5
,
пустое
)
Я обычно провожу симуляцию после разводки(+)
—
A_S_N
(10.10.2005 15:22
193.194.153.251
, 82 байт)
Ответ: После синопсиса подключаю нетлист в Verilog, VHDL и sdf - хорошо симулируется. Особенно когда нет симулятора VHDL
—
Dmv
(10.10.2005 15:20
81.169.230.243
,
пустое
)
Спасибо за ответы, но можно немного подробнее как от функциональной симуляции перейти к временной, относительно какого-либо устройства. Либо где можно почитать про это.
—
human
(11.10.2005 13:29
83.234.128.11
,
пустое
)
Объясните пожалуйста принцип работы буфера SOFT
—
Oleg
(10.10.2005 11:34
82.179.191.126
,
пустое
)
Как правило он просто выкидывается при синтезе, по дефолту стоит "ignore soft buffers". А вообще (+)
—
SM
(10.10.2005 12:24
213.141.159.26
, 24 байт)
Сорри, ентер нажался раньше времени (+)
—
SM
(10.10.2005 12:25
213.141.159.26
, 139 байт)
Ясненько, спасибо
—
Oleg
(10.10.2005 12:33
82.179.191.126
,
пустое
)
Где взять Alliance 2.1 или ISE 3.3
—
aleksey_ustinov
(07.10.2005 21:01
217.14.194.242
, 180 байт)
ISE7.1 под LINUX
—
FOREVER
(07.10.2005 19:54
83.149.51.1
, 122 байт)
Языки описания аппаратуры (VHDL и др.)
—
FOREVER
(07.10.2005 19:46
83.149.51.1
, 123 байт)
Мож у кого завалялся PeakFPGA из послкедних и леченый ? Буду вери сенкс :)
—
ILarionov
(07.10.2005 17:00
193.30.244.198
,
пустое
)
Таблетка для Symphony EDA Professional здесь:
—
ILarionov
(07.10.2005 15:37
193.30.244.198
, 155 байт)
А под Линух что-нить подобного не встречал?
—
Зок Мёдов
(12.10.2005 18:38
212.34.54.6
,
пустое
)
не , не видал
—
Larionov
(12.10.2005 19:29
193.30.244.198
,
пустое
)
Помогите!!!! Xilinx 6 Pace - FATAL_ERROR:GuiUtilities:WinApp.c:657$Revision
—
Чайник
(07.10.2005 15:21
217.12.113.195
, 46 байт)
Помогите!!!! FATAL_ERROR:GuiUtilities:WinApp.c:657$Revision
—
Чайник
(07.10.2005 15:19
217.12.113.195
, 46 байт)
Хотелось бы тоже получить патч на Synplify 8.2 - спасибо!
—
NN
(07.10.2005 10:23
82.151.17.5
,
пустое
)
см мыло
—
des00
(07.10.2005 16:47
80.89.147.5
,
пустое
)
Добрый день господа! а кто нибудь пользуеться nLint системами ? если да(+)
—
des00
(06.10.2005 18:31
80.89.147.5
, 32 байт)
Не пользуюсь, но посмотрите на http://www.x-tekcorp.com/. Доступна версия пока под Verilog. Кстати, сдается мне что эти тулзы актуальны под Verilog в большей мере...
—
Victor®
(07.10.2005 14:46
195.46.37.133
,
пустое
,
ссылка
)
Да я видел ваш пост на электрониксе, а не могли бы вы на фтп вылить ?
—
des00
(07.10.2005 16:46
80.89.147.5
,
пустое
)
Сегодня не успею. Может в понедельник? Если скачаете до понедельника сами - дайте знать.
—
Victor®
(07.10.2005 17:45
195.46.37.133
, 56 байт)
ссылка на лиценз
—
Victor®
(07.10.2005 17:48
195.46.37.133
, 56 байт)
Спасибо
—
des00
(07.10.2005 18:27
80.89.147.5
,
пустое
)
Посоветуйте пожалста какой-нить редактор для HDL чтоб там с подсветочкой и т.п. и чтоб весил немного
—
Oleg
(06.10.2005 17:58
82.179.191.126
,
пустое
)
Ответ:
—
asmej
(07.10.2005 10:16
80.64.97.142
, 66 байт)
:(
—
Oleg
(10.10.2005 11:40
82.179.191.126
, 119 байт)
Ответ:
—
Oleg
(07.10.2005 00:07
80.92.96.26
,
пустое
)
ConTEXT
—
Victor®
(06.10.2005 18:22
195.46.37.133
, 33 байт,
ссылка
)
Для КонТЕКСТа я написал хорошую верилоговую подсветку, лучше чем с их сайта. Прислать?
—
druzhin
(06.10.2005 19:02
80.92.98.198
,
пустое
)
Да! буду очень прзнателен :)
—
Oleg
(07.10.2005 00:09
80.92.96.26
, 18 байт)
спасибо!
—
Oleg
(07.10.2005 18:35
80.92.96.26
,
пустое
)
Можно ли чем-нибудь подпатчить Синплисити 8.0?
—
GroundCtrl
(06.10.2005 16:02
62.105.138.5
,
пустое
)
А почему бы вам не пропатчить 8.2? Выслать лекарство для 8.2?
—
druzhin
(06.10.2005 16:46
80.92.98.198
,
пустое
)
Кста, сегодня не электрониксе пробежало, как пропатчить без фикса экзешников - т.е. под полную лицензию. Сам не проверял еще. (+)
—
dxp
(07.10.2005 09:12
194.226.180.10
, 544 байт)
VENDOR_STRING=fpga,pro это мало, это кастрированная лицензия!!! (+++)
—
druzhin
(07.10.2005 11:27
80.92.98.198
, 208 байт)
Кста, а можно Синплифай из командной строки рулить? Т.е. (+)
—
dxp
(07.10.2005 12:42
194.226.180.10
, 173 байт)
С удовольствием пропатчу!!!!!
—
GroundCtrl
(06.10.2005 17:37
62.105.138.5
, 42 байт)
Если не сложно, буду премного благодарен (+)
—
des00
(06.10.2005 17:06
80.89.147.5
, 46 байт)
Помогите найти электронную книгу
—
NN
(06.10.2005 13:08
82.151.17.5
, 256 байт)
Поделитесь лекарством для ModelSim XE III 6.0a плз.
—
MEGA-PSP
(06.10.2005 11:01
212.220.59.241
,
пустое
)
HELP! Проект из ISE 6.3 не работает в ISE 7.1
—
MasterE
(06.10.2005 07:08
83.149.51.2
, 172 байт)
Четвёртый стервиспак поставили? Если нет, то вы идиот. Если поставили, то попробуйте отсинтезировать проект Симплифаем и напишите сюда, что получится.
—
druzhin
(06.10.2005 10:17
80.92.98.198
,
пустое
)
Спасибо, уже разобрался )) Все равно сыроват ISE 7.1 )
—
MasterE
(06.10.2005 12:37
83.149.51.1
, 209 байт)
Да. Будем ждать ИСЕ 7.3
—
druzhin
(06.10.2005 12:58
80.92.98.198
,
пустое
)
Если поставить 6 и 7, то у них конфликтуют коргенераторы.
—
druzhin
(06.10.2005 13:52
80.92.98.198
,
пустое
)
Чтобы узнать кто вы....тся, синтезатор или имплементатор.
—
druzhin
(06.10.2005 10:21
80.92.98.198
,
пустое
)
HELP!Проект из ISE 6.3 не работает в ISE 7.1
—
MasterE
(06.10.2005 07:03
83.149.51.2
,
пустое
)
Leonardo Spectrum, начальное состояние сигнала (после startup)
—
Lexx
(05.10.2005 17:59
83.149.224.132
, 379 байт)
Ответ:
—
SAZH
(06.10.2005 17:17
212.113.112.201
, 462 байт)
Не совсем понимаю Verilog.
—
Lexx
(07.10.2005 09:39
83.149.224.132
, 1144 байт)
Ответ:
—
SAZH
(07.10.2005 11:07
212.113.112.201
, 1369 байт)
Ответ:
—
Lexx
(07.10.2005 13:29
83.149.224.132
, 1519 байт)
Понятно.
—
SAZH
(07.10.2005 15:11
212.113.112.201
,
пустое
)
А зачем ?
—
A_S_N
(06.10.2005 09:40
193.194.153.251
, 42 байт)
Ответ: Во-первых, чтобы знать. Во-вторых:
—
Lexx
(06.10.2005 11:41
83.149.224.132
, 214 байт)
А Reset использовать почему нельзя ?
—
A_S_N
(06.10.2005 12:21
193.194.153.251
,
пустое
)
Можно. Но в существующем ПО оно не используется, это раз.
—
Lexx
(06.10.2005 13:33
83.149.224.132
, 509 байт)
Существует ли лекарство к Web версии Active-HDL 6.3!?
—
human
(04.10.2005 12:00
83.234.128.11
,
пустое
)
Ответ: и мне намыльте, plz
—
pavelsh
(20.10.2005 10:46
195.208.79.250
,
пустое
)
Есть специальный патч!!!! Надо запустить этот потч, поправить реестр и web превращается в full. Может кому намылить это патч? А также лекарство к Актив-ХДЛ сп2 ???
—
druzhin
(05.10.2005 09:49
80.92.98.198
,
пустое
)
Большое спасибо господину druzhin.
—
human
(08.10.2005 15:36
83.234.128.11
, 102 байт)
Буду премного благодарен !
—
Stewart Little
(05.10.2005 17:31
82.140.81.2
,
пустое
)
Ответ:
—
human
(05.10.2005 12:23
83.234.128.11
, 67 байт)
Зачем оно нужно, если есть к полной?
—
Builder
(04.10.2005 13:33
81.25.47.235
,
пустое
)
Это хорошо, вот только где дистрибутив скачать
—
human
(04.10.2005 21:21
83.234.128.11
,
пустое
)
дистрибутив можно скачать с FTP электроникса(-)
—
miki
(05.10.2005 16:55
195.131.123.42
,
пустое
)
Нужно срочно ip core VME контроллера или моста
—
tim2000
(03.10.2005 17:01
195.19.226.33
, 75 байт)
Телеконференции
|||
Главная страница