[an error occurred while processing this directive]
«Телесистемы»:
Конференция «Языки описания аппаратуры (VHDL и др.)»
Страницы:
Текущая
62
61
60
59
58
57
56
55
54
53
52
51
50
49
48
47
46
45
44
43
42
41
40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
Новое сообщение
Регистрация
Телеконференции
——> Выберите конференцию
Микроконтроллеры <31.12.2022 22:42>
Программируемые логические схемы <03.01.2017 20:54>
Языки описания аппаратуры (VHDL и др.) <23.12.2018 20:16>
Цифровые сигнальные процессоры (DSP) <16.06.2022 18:59>
Аналоговая схемотехника <16.06.2022 18:58>
Голосования <08.12.2022 22:35>
Недавно побывал в закромах, там нет celoxica dk 2.0. Все уже отоварились или никому не надо? Может быть залить как-нибудь?
—
miki
(05.02.2004 17:47,
пустое
)
Уважаемые! Никак не могу найти Spice модели на аудио микросхемы LM381,LM387, LM386, и TDA2822
—
fmssp
(05.02.2004 13:55, 128 байт)
A v Orcad posmotret'?
—
KA
(05.02.2004 17:43,
пустое
)
Увы, нет доступа в CIS
—
fmssp
(05.02.2004 18:36,
пустое
)
P.S.
—
fmssp
(05.02.2004 20:49, 113 байт)
Кому нибудь доводилось хоанить не меняющиеся данные в SPARTAN2?
—
Amid
(04.02.2004 19:54, 308 байт)
Можно
—
urri
(04.02.2004 20:08,
пустое
)
Spartan2
—
urri
(04.02.2004 20:08,
пустое
)
Но как именно? - подскажите!!!
—
Amid
(04.02.2004 20:28, 259 байт)
Ответ: Не через Aldec IP, надо использовать атрибуты INIT для блоков памяти.
—
Vlad23
(05.02.2004 07:11,
пустое
)
Нет, надо использовать Coregen, в котором при генерации памяти подсунуть .coe-файл с содержимым этой самой памяти. Формат .coe есть в даташите.
—
andrew_b
(05.02.2004 08:49,
пустое
)
Всем большое списибо!
—
Amid
(05.02.2004 14:32,
пустое
)
Ой ошибочка - предыдущее сообщение Владу. А у Андрея я хотел уточнить - В каком именно даташите есть описание формата файла .coe? А может на мыльце сбросите? Всем
—
Amid
(05.02.2004 14:31,
пустое
)
Запускаете Coregen. Выбираете любой компонент. Внизу окна с параметрами компонента среди прочих есть кнопочка "Data sheet..."
—
andrew_b
(05.02.2004 16:00,
пустое
)
To andrew_b: да можно использовать атрибут INIT(в дерективе generic при) - как в библиотеке Spartan2.vhd, при обьявлении памяти. Сейчас попробую !!!
—
Amid
(05.02.2004 14:21,
пустое
)
Это если вы используете стандартные компоненты. (+)
—
andrew_b
(05.02.2004 16:06, 346 байт)
Есть одна тонкость.
—
dsmv
(05.02.2004 16:50, 443 байт)
To dsmv: Ещё неразбериха ....
—
Amid
(05.02.2004 20:57, 658 байт)
Ответ:
—
dsmv
(06.02.2004 19:06, 314 байт)
To dsmv: Был бы очень благодарен! Заранее большое спасибо!
—
Amid
(09.02.2004 14:46, 35 байт)
Какие методы оптимизации используются в VHDL?
—
СИшник
(04.02.2004 15:54, 251 байт)
Оптимизацией занимается синтезатор.
—
zlyh
(04.02.2004 18:30,
пустое
)
Мне хотелось бы узнать подробнее о работе синтезатора.
—
СИшник
(04.02.2004 20:21,
пустое
)
Мнэээ... Не советую,
—
svf
(05.02.2004 12:56, 562 байт)
Ответ:Ситезатор пытается превратить твой код в схему...
—
Mad Makc
(05.02.2004 00:08, 161 байт)
Ответ: Кое какие настройки синтезатора Вам доступны
—
Vjacheslav
(05.02.2004 09:40, 355 байт)
Запутался в трeх соснах. Подскажите, pls (+)
—
SKov
(03.02.2004 22:17, 1036 байт)
в верилоге -
—
yes
(04.02.2004 09:11, 166 байт)
Жизнь заставила начать изучение VHDL. И сделать для начала надо всего лишь RSD-триггер. И своял я такой код, только вот (+)
—
THEOdore
(03.02.2004 17:10, 711 байт)
См. внутри
—
LeonY
(03.02.2004 22:12, 808 байт)
Уточни ситуацию (+)
—
DmitriP
(03.02.2004 18:35, 275 байт)
Ответ: Работаю с ALTERA Flex и симуляция уже в MAX'е. И, увы, нужно сделать именно RSD-триггер, поскольку конечный код после отладки на ПЛИС будет защит в БМК, где этот триггер реализован аппаратно. Задача поставлена четко - проектировать ПЛИС в базисе БМК.
—
THEOdore
(04.02.2004 18:16,
пустое
)
В 1997ом в www.setdsp.ru были "Интеграл"овская и "Ангстрем"овская БМКшные библиотеки для синтезатора...
—
zlyh
(04.02.2004 18:37,
пустое
)
Ответ: Ты в какую плисуёвину синтезишь?
—
vitus_strom
(03.02.2004 17:23,
пустое
)
Слушайте а никто не пытался по generic разные LOC на пины делать (эквивалентно использованию нескольких UCF файлов в зависимости от параметра)
—
vitus_strom
(03.02.2004 16:51,
пустое
)
Под каждый Generic написать атрибуты в VHDL. Но ты об этом и сам знаешь.
—
KA
(03.02.2004 20:10,
пустое
)
Шлифовальная машина makita (болгарка makita) – шлифмашина makita оптом, по...{+}(+)
-
Константин
(25.02.2009 14:20:31
79.98.8.13
, 1627 байт,
ссылка
,
картинка
)
Электроинструмент и бензоинструмент: инструмент Bosch, Makita, Hitachi, Met...{+}(+)
-
Петр
(10.01.2009 16:10:31
213.221.39.204
, 1445 байт,
ссылка
,
картинка
)
Бензопилы Макита. Сборочные схемы бензопил. Описания. Инструкции по эксплуатации.
-
Константин
(08.08.2008 20:01:29
92.36.107.4
, 400 байт,
ссылка
,
картинка
)
Пиломатериалы – ООО "АРКАДА"
-
Михаил444
(06.08.2008 16:51:47
79.98.8.4
, 456 байт,
ссылка
,
картинка
)
Бензопилы Макита. Сборочные схемы бензопил. Описания. Инструкции по эксплуатации.
-
Константин
(05.08.2008 20:56:12
92.36.119.25
, 400 байт,
ссылка
,
картинка
)
Перфораторы Makita
—
Константин
(23.06.2008 19:38:28
192.168.200.154,62.5.246.114
, 350 байт,
ссылка
,
картинка
)
свадебное фото
—
Сергей2323
(19.04.2008 02:55:16
79.98.8.4
, 165 байт,
ссылка
,
картинка
)
celebra
—
Сергейавывыв
(31.03.2008 13:47:48
79.98.8.4
, 728 байт,
ссылка
,
картинка
)
Компания ОрИ.
—
Леонид678а
(14.01.2008 11:07:7
79.98.8.2
, 2716 байт,
ссылка
,
картинка
)
Магазин Woolmart
—
Константин
(29.12.2007 04:30:7
79.98.8.4
, 2970 байт,
ссылка
,
картинка
)
Триммеры Makita.
—
Константин
(25.11.2007 02:43:59
80.249.152.58
, 2148 байт,
ссылка
)
Электропилы и кусторезы Makita
—
Константин
(16.11.2007 12:20:11
80.249.152.58
, 2572 байт,
ссылка
,
картинка
)
Бензопилы Макита
—
Константин
(28.10.2007 13:37:16
80.249.152.58
, 2660 байт,
ссылка
,
картинка
)
Кухни Суомика
—
Константин
(19.10.2007 18:04:40
80.249.152.58
, 537 байт,
ссылка
,
картинка
)
Пилы Макита
—
Константин
(05.10.2007 22:46:41
80.249.152.58
, 6707 байт,
ссылка
,
картинка
)
Болгарки Makita
—
Константин
(19.09.2007 03:07:41
80.249.152.58
, 5249 байт,
ссылка
,
картинка
)
Шуруповерты Makita
—
Константин
(18.09.2007 02:41:7
80.249.152.58
, 2149 байт,
ссылка
)
Дрели Makita
—
Константин
(15.09.2007 04:57:17
80.249.152.58
, 3717 байт,
ссылка
,
картинка
)
Отбойные молотки Makita
—
Константин
(12.09.2007 18:40:28
80.249.152.58
, 1459 байт,
ссылка
)
4536
—
Константин777
(31.08.2007 18:05:35
80.249.152.58
, 3837 байт,
ссылка
)
ОрИ
—
4588
(16.08.2007 17:09:5
80.249.152.58
, 3662 байт,
ссылка
,
картинка
)
<a href="http://www.opu.ru/">Компания ОрИ</a>: <a href="http://www.opu.ru/">переплет</a>, <a href="http://www.opu.ru/">переплетные работы</a>, <a href="http://www.opu.ru/">твердый переплет</a>, <a href="http://www.opu.ru/">тиснение</a>. <a href="http://www.opu.ru/">Кожгалантерея</a> и <a href="http://www.opu.ru/">канцтовары</a>. <a href=&quo
—
ufhbr
(15.03.2007 21:45:24
80.249.152.58
, 284 байт,
ссылка
)
кожпные папки
—
Вася
(16.08.2007 17:05:6
80.249.152.58
, 36 байт,
ссылка
)
Wildroses - заказ цветов, доставка цветов.
—
Алексей Никонов
(28.12.2004 16:53, 129 байт,
ссылка
)
Там можно вектором задать номера через запятую. Для шин по крайней мере. А в шину объединить вроде как не проблема...
—
Michael
(03.02.2004 20:30, 290 байт)
Проверте плиз схему программатора (мудаки с бывшей работы вроде прислали схему программатора на ксилинкс с слегка перетасованными выводами разьемов)...шутники хреновы
—
axalay
(03.02.2004 11:34,
пустое
)
Ответ: все... разобрался - эти черти шутки ради поменяли местами на лпт-разьеме сигналы тмс и тск оказывается
—
axalay
(03.02.2004 13:19,
пустое
)
ЦОС на ПЛИС
—
Fnutik
(02.02.2004 15:20, 97 байт)
ЦОС на ПЛИС
—
Fnutik
(02.02.2004 15:35, 469 байт)
Active HDL -> IP Core generator
—
V61
(02.02.2004 16:45,
пустое
)
Ответ:
—
Fnutik
(03.02.2004 10:06, 218 байт)
Этот генератор находится в составе пакета.
—
V61
(03.02.2004 11:27, 509 байт)
Большое спасибо...
—
Fnutik
(03.02.2004 11:56, 207 байт)
Без проблем
—
V61
(03.02.2004 12:17,
пустое
)
ЦОС на ПЛИС
—
Fnutik
(02.02.2004 15:35, 469 байт)
Товарищи, кто может раскодировать очередную (v.7.0) корку от PLDA?
—
a?
(29.01.2004 16:19,
пустое
)
DSP-Builder - не дайте помереть, где взять лицензию?
—
aj
(29.01.2004 13:38,
пустое
)
Работа
—
den
(29.01.2004 11:54, 188 байт)
пойди туда незнаю куда, возьми то незнаю что ;-)))
—
DSN
(30.01.2004 17:56,
пустое
)
Может быть Вы имели в виду 3K$ ?
—
miki
(29.01.2004 12:05,
пустое
)
Имелось ввиду за строчку :-)
—
misyachniy
(29.01.2004 14:30,
пустое
)
Ответ: Шутка удалась :-D
—
vitus_strom
(29.01.2004 14:34,
пустое
)
А вопрос можно? (Может идиотский... )
—
VyacheslavN
(28.01.2004 21:45, 330 байт)
Не идиотский...
—
zlyh
(30.01.2004 10:00, 565 байт)
Ответ: Сделай приведение типа, или запиши вектор как a(0)(3 downto 0) <= тогда пройдет
—
vitus_strom
(29.01.2004 09:54,
пустое
)
a(0)(3 downto 0) <= работало бы, если массив определён как например signal a: array ( 3 downto 0) of std_logic_vector(3 downto 0); Разница в индексах.
—
zlyh
(29.01.2004 11:36,
пустое
)
Ответ: Конечно из вышесказанного не следует что нужно переопределить массив, но я именно это и имел ввиду
—
vitus_strom
(29.01.2004 12:01,
пустое
)
Работа в Днепре
—
Мысли вслух
(28.01.2004 19:45, 194 байт)
DmitriP простите Ваш ответ не читается или с сервером проблемы ,
—
Саша100
(28.01.2004 10:41, 53 байт)
TOOLS->Edit Preferences->... Далее настройки для нужного окна
—
DmitriP
(28.01.2004 12:23,
пустое
)
Ответ: Диме и всем большое спасибо! Все получилось
—
Саша100
(28.01.2004 12:36,
пустое
)
Удачи.
—
DmitriP
(28.01.2004 13:54,
пустое
)
Уважаемые подскажите начинающему по ModelSim.
—
Саша100
(28.01.2004 10:26, 1074 байт)
Пошаговая отладка....
—
Michael
(28.01.2004 11:07, 195 байт)
Ответ: Скажите а где можно изменить размеры шрифта, хоть убей не могу найти этот пункт меню.
—
Саша100
(28.01.2004 11:12,
пустое
)
Ответ: pref.tcl кде-то в директории моделсима, там все настройки и лежат
—
vitus_strom
(28.01.2004 11:30,
пустое
)
Ответ: вопрос к Vitus_strom
—
Саша100
(28.01.2004 12:03, 174 байт)
Ответ: насколько я помню через меню меняется до закрытия окна, а разбираться в принципе не надо ищешь по ключу source, находишь что-нибудь со словом font и меняешь на какойнибудь шрифт с указанием размера там где-то рядом есть
—
vitus_strom
(28.01.2004 13:31,
пустое
)
Ответ:
—
ОС
(28.01.2004 10:40, 86 байт)
Ответ: OC скажите пожайлуста а где находятся настройки шрифта.
—
Саша100
(28.01.2004 10:47, 66 байт)
Ответ: Большое спасибо! Сейчас обязательно попробую
—
Саша100
(28.01.2004 10:43, 44 байт)
Нужно изменить шрифт(+)
—
DmitriP
(28.01.2004 10:36, 88 байт)
Глубокий вопрос: кто-нить считал покрытие тестами проектов в моделсиме?
—
Michael
(27.01.2004 17:05,
пустое
)
Не "считал", но баловался. Посмотрел что вроде как что то работает.
—
zlyh
(27.01.2004 17:44,
пустое
)
ЧТо-то кажет, НО только при логическом моделировании. При подцеплении в тест GateLevel-а (синтезнутого проекта) его покрытие не считается, хотя само моделирование идет, сигналы меняются и внутрь залезть можно...
—
Michael
(27.01.2004 18:13,
пустое
)
Эт плохо...
—
zlyh
(28.01.2004 10:29, 240 байт)
Ответ с www.model.com
—
Michael
(30.01.2004 16:24, 83 байт)
Нада сапр для латтиса (серия ispXPGA, чтобы всю серию потдерживала), взамен предлагаю ISE5.2 full (кряк не нада), пишите на майл
—
axalay
(27.01.2004 11:47,
пустое
)
Народ подскажите плз где скачать Active-HDL 6.2 и лекарство к нему и последний LeoSpec (или какой-нибудь хороший синтезатор)
—
vladx
(26.01.2004 12:30,
пустое
)
Ответ: 6.2
—
k2
(26.01.2004 19:17, 185 байт)
Спасибо за лечилку. А синтезатор какой нидь, лучше LeoSpec (или посоветуйте плз что лучше).
—
vladx
(27.01.2004 11:02,
пустое
)
Synplify or Amplify (Synplicity) - гораздо лучше, чем Менторовские продукты, ну и в любом случае не Леонардо
—
LeonY
(27.01.2004 12:59,
пустое
)
Я ща кочаю Synplify Pro® 7.3.4. Нужна ли к нему лечилка ? Если да, то можно ссылочку ...
—
vladx
(27.01.2004 13:09,
пустое
)
Все, вылечил ...
—
vladx
(28.01.2004 11:22,
пустое
)
В продолжение к предыдущему вопросу. Не огласите ли список программ, компилирующих и симулирующих VHDL применительно к ALTER'e? Заранее благодарен.
—
THEOdore
(24.01.2004 15:35,
пустое
)
FPGA Advantage от Mentor-а.
—
DmitriP
(26.01.2004 13:51,
пустое
)
Коллеги! Никто не сталкивался с такой задачей. Из Orcad'а формируется VHDL код по графичекой схеме, который не воспринимается MAX'ом. Я не знаю VHDL, чтобы корректировать, поэтому спрашиваю, нет ли других вариантов прочтения этого кода для дальнейшего моделирования и программирования ALTER'ы (может Quartus или ActiveHDL какой-нибудь?). Заранее благодарен.
—
THEOdore
(24.01.2004 01:21,
пустое
)
Еще одно предположение (+)
—
DmitriP
(26.01.2004 10:17, 186 байт)
Ответ: Ответ внутри ...
—
Apast
(25.01.2004 12:20, 466 байт)
Ответ: Благодарю. Попробую.
—
THEOdore
(26.01.2004 00:39,
пустое
)
Не поделится ли кто лекарством для M.o,d.e.l.S,i.m 5.7c ?
—
katowice
(23.01.2004 15:26,
пустое
)
Ответ:
—
matel
(25.01.2004 01:03, 110 байт)
Как перекодировать программу из формата Motorola S в формат Intel HEX.
—
михаил
(23.01.2004 14:11, 404 байт)
открыть програматором умеющим читать это и сохранить в др формате
—
DSN
(02.02.2004 19:33, 5145 байт)
Тут(+)
—
Dimonira
(26.01.2004 15:25, 220 байт)
Тут(+)
—
Dimonira
(26.01.2004 15:25, 220 байт)
Поищите в итернете программы типа bin2hex и hex2bin. Путь обычный Motorola>bin>Intel hex.
—
misyachniy
(26.01.2004 15:13,
пустое
)
Не встречал ли кто ссылки на открытые проекты по созданию под Linux (Unix) симуляторов для VHDL?
—
Slavko
(23.01.2004 13:37,
пустое
)
Ответ: Встречал
—
andrew_b
(23.01.2004 13:49, 79 байт)
Очень нужен параллельный делитель на VHDL. Буду благодарен и на ссылку.
—
sir-yuri
(23.01.2004 13:12,
пустое
)
Ответ:
—
sir-yuri
(26.01.2004 13:35, 192 байт)
Конечно, можно.
—
V61
(26.01.2004 14:45, 233 байт)
Ответ: сколько нужно разрядов
—
vitus_strom
(23.01.2004 18:49,
пустое
)
ActiveHDL, IP CORE Generate. Но очень плохой.
—
V61
(23.01.2004 14:33, 140 байт)
Операция деления сугубо последовательная, параллельных делителей не бывает
—
miki
(23.01.2004 13:42,
пустое
)
Точно, очень советую почитать вот эту статью.
—
svf
(23.01.2004 20:55,
пустое
,
ссылка
)
Кто нить подскажите новые книги по верилогу (говорят много чего нового появилось) и где их купить
—
axalay
(23.01.2004 12:57,
пустое
)
На аглицком могу послать не новые ...
—
andy123
(23.01.2004 17:34,
пустое
)
Ответ: Пришли мне
—
matel
(25.01.2004 01:09,
пустое
)
Они (PDF-ы) все здоровые по 5-15 MB. Попробуем?
—
andy123
(06.02.2004 18:54,
пустое
)
Интересная информация по VHDL
—
Valeri
(23.01.2004 07:50,
пустое
,
ссылка
)
Кто нить работал с PCI устройствами на прямую?
—
HARD
(22.01.2004 09:51, 12 байт)
А что, можно с ними криво работать?
—
Valeri
(22.01.2004 10:25, 54 байт)
Ответ: Сикось - накось...
—
axalay
(22.01.2004 11:09,
пустое
)
Ответ:И с какой стороны к ним( устройствам) подступаться ходите?Со стороны софта или железа?
—
Mad Makc
(23.01.2004 00:52,
пустое
)
Ответ: на асме в РМ
—
HARD
(24.01.2004 05:26,
пустое
)
А нормально вопрос сформулировать слабо?
—
Valeri
(24.01.2004 09:31, 153 байт)
Ответ: ладно..нужен ман по инициализации и конфигурянию PCI устройств!
—
HARD
(25.01.2004 01:25,
пустое
)
Совсем другое дело :-)
—
Valeri
(25.01.2004 08:50, 951 байт)
Ответ: не зависимо от ОС, надо работать на прямую в любом режиме.
—
HARD
(26.01.2004 07:55,
пустое
)
А BIOS-то есть? Блин, ну как клещами все вытягивать надо. Это мне надо или Вам?
—
Valeri
(26.01.2004 09:17,
пустое
)
Ответ: Это вопрос мне или кому?
—
axalay
(23.01.2004 15:13,
пустое
)
2 Мартовский Кот - Active-HDL 6.2
—
k2
(21.01.2004 22:36, 196 байт)
Ответ:
—
asdfasf
(04.03.2004 23:46, 4 байт,
ссылка
,
картинка
)
Ответ: Вылечить не сложно, но жду полную версию.
—
Vlad23
(22.01.2004 06:40,
пустое
)
Modelsim 5.8 SE (где взять кряк?)
—
Michael
(21.01.2004 17:32, 51 байт)
Ответ: Проверьте почту.
—
Vjacheslav
(22.01.2004 15:18,
пустое
)
Ответ: Есть кряк к любой версии.
—
Vlad23
(22.01.2004 06:42,
пустое
)
Я скачал единственный, который нашел, но он не работает... архив 110к (во многих местах есть, но....)
—
Michael
(22.01.2004 13:50,
пустое
)
Ответ: Мой меньше 1К.
—
Vlad23
(23.01.2004 07:57,
пустое
)
А licgen для MSim5.7 не действует?
—
cms
(21.01.2004 20:14,
пустое
)
Ответ:
—
grumbler
(21.01.2004 19:22, 5 байт)
Ответ:
—
Michael
(21.01.2004 19:56, 15 байт)
А мне на мылку не подбросите путеводитель ;)
—
plisik
(22.01.2004 11:11,
пустое
)
НУ я прям с родного сайта и скачал.. А вот Evaluation имеет все возможности или нет?
—
Michael
(22.01.2004 13:48,
пустое
)
Ответ:
—
grumbler
(21.01.2004 20:16, 29 байт)
Там все по китайски... ;-/
—
Michael
(22.01.2004 13:47,
пустое
)
Кто-нибудь знает, как подлечить ModelSim XE II 5.7c ?
—
Willie
(21.01.2004 15:31,
пустое
)
Ответ: Берите полную версию, а от этой библиотеки.
—
Vlad23
(22.01.2004 06:41,
пустое
)
Re: <a href=http://www.usa-birthday-flowers.com Send Flowers Online
—
Birthday Flowers
(02.09.2004 20:52, 164 байт,
картинка
)
Для vitus_strom и для AlexP
—
Fat Robot
(21.01.2004 13:44, 474 байт)
Ответ: Вообще тангенсы гиперболические и деления не используются для получения логарифма, если кордик для тебя не проблема, то могу послать код, а насчет задержки то да она есть и прямо пропорцианальна разрядности входа
—
vitus_strom
(21.01.2004 14:37,
пустое
)
Вычисление через arcth - зачастую эффективнее.
—
V61
(21.01.2004 16:59, 120 байт)
Ответ: Не знаю гиперболические арктангенсы я честно говоря не смотрел, но считял я явно по другой формуле, а аргумент до вычислений приводил в область значений от 0 до 1 а потом назад результат масштабировал
—
vitus_strom
(21.01.2004 17:42,
пустое
)
Там эффект в том,
—
V61
(21.01.2004 18:34, 161 байт)
Это оно и есть.
—
Fat Robot
(21.01.2004 17:06,
пустое
)
Если не затруднит,
—
Fat Robot
(21.01.2004 14:47, 82 байт)
Ошибся. Действительно, нет деления.
—
Fat Robot
(21.01.2004 14:54, 189 байт)
Ответ: Слава богу пару лет назад пришлось покувыркаться с кордиками книжек умных насмотрелся. За ссылку спасибо
—
vitus_strom
(21.01.2004 14:57,
пустое
)
Может, заодно,
—
Fat Robot
(21.01.2004 15:08, 50 байт)
Ответ: Дома есть куски касающиеся кордика одной очень старой и толклвл написаннолй книги но пожалуй название я не скопировал, хотя где то записано но не в этой Богом забвтой стране (Чехии)
—
vitus_strom
(21.01.2004 15:16,
пустое
)
Ответ: Посмотрю если не забуду
—
vitus_strom
(21.01.2004 15:18,
пустое
)
Ответ: Ушло
—
vitus_strom
(21.01.2004 14:53,
пустое
)
Спасибо (-)
—
Fat Robot
(21.01.2004 15:02,
пустое
)
Ответ: НЕ во что
—
vitus_strom
(21.01.2004 16:32,
пустое
)
Да, а насчет таблицы с пред. страницы
—
Fat Robot
(21.01.2004 13:52, 201 байт)
Ответ: Если тебя устроит по точности кусочно линейная аппроксимация то можешь её выбрать, если нет то либо таблица хотя это геморрой но быстро, либо медленнее CORDIC
—
vitus_strom
(21.01.2004 14:34,
пустое
)
сумматор чисел с плавающей запятой
—
alm197979
(21.01.2004 12:50, 51 байт)
Ответ: Моделировал? Ты чего хотел спросить?
—
vitus_strom
(21.01.2004 13:16,
пустое
)
я тоже модулировал когда-то такой сумматор, если не жаль синтезируемого кода - покажите и мне
—
yes
(21.01.2004 15:36, 53 байт)
Ответ:
—
vitus_strom
(21.01.2004 16:31,
пустое
)
Ответ: В смысле ушло
—
vitus_strom
(21.01.2004 16:31,
пустое
)
Ответ: в смысле спасибо :)
—
yes
(21.01.2004 16:57,
пустое
)
Ответ:
—
alm197979
(21.01.2004 14:07, 214 байт)
Ответ: Воообще на VHDL код пишут который потом симулируют(моделируют - для проверки правильности работы), правда код очень редко назыают модель, но очень редко, а промодулировать это уже совсем другая песня это уже из радиопередающих устройств, это для начала... Попробуй заглянуть на http://www.dsp.neora.ru/ в раздел книги может чего и подберёшь, А если тебе код сумматора с плавающей точкой нужен то могу посмотреть может где и завалялось
—
vitus_strom
(21.01.2004 14:44,
пустое
)
Большое спасибо, если не трудно то буду очень благодарен за код
—
alm197979
(21.01.2004 14:49,
пустое
)
Ответ: ушло
—
vitus_strom
(21.01.2004 14:55,
пустое
)
Ответ: спасибо
—
alm197979
(21.01.2004 15:16,
пустое
)
Ответ: Не во что
—
vitus_strom
(21.01.2004 16:33,
пустое
)
Заполнить форму для отправки сообщения
|||
Телеконференции
|||
Главная страница
|||
Конференция без кадра
|||
Архив без кадра