[an error occurred while processing this directive] [an error occurred while processing this directive]
«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.)»


[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]
Страницы: Текущая 62 61 60 59 58 57 56 55 54 53 52 51 50 49 48 47 46 45 44 43 42 41 40 39 38 37 36 35 34 33 32 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1

Rambler's Top100  Новое сообщение    Телеконференции    
  • Самодельный мелкопроцессор на Cypress CY37128P84-125JC CPLDАК   (02.09.2001 07:40, пустое, ссылка)
  • Наткнулся на такой вот вебсайт, может, кому пригодитсяАК   (02.09.2001 03:22, пустое, ссылка)
  • В верилоге case (a) 8'b1010xxxx : b = 1;.... не сработает (+)Vova   (01.09.2001 03:52, 185 байт)
  • Где можно найти информацию о задаваемых арибутах в VHDLВиталий   (31.08.2001 12:49, 448 байт)
  • Кто пользовался ModelSim-ом подскажите...Mavr   (30.08.2001 22:04, 500 байт)
  • Господа!!! А вот такой вопрос (+)Kota   (29.08.2001 15:50, 269 байт)
  • Еще вопрос по Verilog-уMavr   (28.08.2001 16:10, 516 байт)
  • господа, кто-нибудь умеет пользоваться verilog-mode в emacs??yes   (28.08.2001 12:50, 260 байт, ссылка)
  • Ссылка на Verilog Styles for Synthesis of Digital Systems - David R. Smith, Paul Franzon теперь работает vitA   (27.08.2001 17:50, пустое, ссылка)
  • Вопрос по VerilogMavr   (27.08.2001 17:28, 1184 байт)
  • Синтезирует или нет Foundation 2.1 двумерный массив в Спартан?DM   (27.08.2001 14:36, пустое)
  • Chto luchshe FPGA Express ili Sinplify pro VHDLneznaika   (24.08.2001 19:11, пустое)
  • Vopros po FSA (FSM) neznaika   (24.08.2001 17:28, 5797 байт)
  • еще раз про constrain-ыyes   (24.08.2001 12:11, 265 байт)
  • Logiblox????незнайка   (23.08.2001 21:26, 501 байт)
  • Библиотека VHDL?????   (23.08.2001 18:07, 148 байт)
  • modelsim 5.5cнезнайка   (23.08.2001 16:40, 313 байт)
  • Владимиру Стешенко -- очень понравилась Ваша площадка, для себя нашёл много полезного. Рекомендую ВСЕМ!(+) vitA   (23.08.2001 13:43, 50 байт)
  • Необходимо в кратчайшие сроки выучить язык. Какой именно проще. смтр. нижеjohnzet   (22.08.2001 14:32, 138 байт)
  • Нужно описание (русское) на AHDL. Этот вопрос ниже подымался но линки уже не работают.johnzet   (22.08.2001 14:22, 144 байт)
  • Не разберусь с вектором движения в MPEGСергей_М   (22.08.2001 13:03, 353 байт)
  • вопрос по синтезу VHDLFilin   (20.08.2001 23:51, 425 байт)
  • Декодер ISDN BRIВладимир   (14.08.2001 16:51, 107 байт)
  • Как зарегистрировать Synplify 6.24(+)Малян   (13.08.2001 00:44, 264 байт)
  • Какой монитор нужен под LS2000 ? На 15'' ничего не видно :-( Хватит ли 17''?M Nemo   (12.08.2001 22:43, пустое)
  • Как установить ModelSim 5.5 или Active-HDLMavr   (10.08.2001 19:29, 339 байт)
  • Люди добрые помогите проблема с кодом на VHDLВиталий   (09.08.2001 15:17, 448 байт)
  • Ищу работу на дому VHDL/Verilogbsasha   (09.08.2001 07:41, пустое)
  • Как по- русски понимать предупреждение Foundation(+)DM   (08.08.2001 09:27, 156 байт)
  • В чем разница между Synopsys FPGA Express и FPGA Compiler II?Victor®   (07.08.2001 17:44, пустое)
  • Срочно! Нужна помощь по VerilogKengur   (07.08.2001 12:19, 837 байт)
  • Ну никак не получается написать на VHDL прошивку для селектора адреса ISA. Прилагаю, то что написал...Не работаетDM   (06.08.2001 19:43, 3138 байт)
  • Господа!!! Решил попробовать Orcad, приминительно к VHDL (+)Kota   (02.08.2001 14:56, 241 байт)
  • synplicitynik   (31.07.2001 22:54, 139 байт)
    Заполнить форму для отправки сообщения   |||  Телеконференции   |||  Главная страница   |||  Конференция без кадра   |||  Архив без кадра