Разработка, производство и продажа радиоэлектронной аппаратуры
|
Карта сайта
|
Пишите нам
|
В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:
jobsmp@pochta.ru
о фирме
продукция
электроника
обратная связь
Языки описания аппаратуры (VHDL и др.)
Страницы:
Текущая
62
61
60
59
58
57
56
55
54
53
52
51
50
49
48
47
46
45
44
43
42
41
40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
Новое сообщение
Регистрация
——> Выберите конференцию
Микроконтроллеры <03.01.2024 22:59>
Программируемые логические схемы <03.01.2017 20:54>
Языки описания аппаратуры (VHDL и др.) <23.12.2018 20:16>
Цифровые сигнальные процессоры (DSP) <31.08.2023 09:00>
Аналоговая схемотехника <16.06.2022 18:58>
Голосования <08.12.2022 22:35>
RadioNews
Проекты
Ссылки
Пару вопросов по Verilog
—
V61
(21.07.2007 11:50:10
77.47.129.20
, 142 байт)
а вы на ВХДЛ пишете или вообще только начинаете? +
—
id_gene
(21.07.2007 15:14:42
193.232.173.182
, 193 байт,
ссылка
)
Ответ:
—
V61
(21.07.2007 15:19:8
77.47.129.20
, 235 байт)
assign можно не только на wire, но и на output
—
yes
(24.07.2007 20:20:22
87.236.81.130
,
пустое
)
И на inout, если уж на то пошло :) Но тут дело в том, что по умолчанию они и есть wire.
—
SM
(25.07.2007 12:00:53
85.21.237.237
,
пустое
)
разница в том, что в V2001 сократили писанину и разрешили при определинии переменной ей сразу что нить присвоить.
—
SM
(23.07.2007 22:41:56
80.92.255.53
,
пустое
)
wire a=b; можно было и в древнем Verilog-XL писать.
—
yes
(25.07.2007 18:08:23
87.236.81.130
,
пустое
)
разницы нет, но
—
urri
(23.07.2007 16:48:24
213.247.190.133
, 210 байт)
разницы нет, нужно стандарт смотреть. +
—
id_gene
(21.07.2007 18:09:42
83.149.193.69
, 180 байт)
Установка Cadence IUS под RHEL(проблемы)
—
Net
(16.07.2007 10:13:34
80.92.96.34
, 106 байт)
сделать "eject", потом вставить новый диск. Тоже мне проблема.
—
SM
(17.07.2007 11:35:33
85.21.237.237
,
пустое
)
Телепаты отстутствуют. Какие сообщения с ошибками выводятся?
—
andrew_b
(16.07.2007 12:48:51
89.18.130.241
,
пустое
)
никаких
—
Net
(16.07.2007 13:04:33
80.92.96.34
,
пустое
)
Не верю. "Ну, рассказывай, как обгонял, как подрезал?". В смысле, как запускал setup.sh.
—
andrew_b
(16.07.2007 15:53:8
89.18.130.241
,
пустое
)
ставил диск CD1 потом setup.sh и все больше никаких действий Red Hat не сделала,
—
Net
(16.07.2007 17:36:18
80.92.96.34
, 79 байт)
Что значит "потом setup.sh"? Запускали, надеюсь, из консоли? Не модет быть, чтобы шелловый скрипт в консоли не выводил никаких ошибок.
—
andrew_b
(17.07.2007 08:52:44
89.18.130.241
,
пустое
)
что-то странное- не находит 2 и 3
—
Net
(17.07.2007 08:54:31
80.92.96.34
,
пустое
)
Так, _весь_ вывод от setup.sh в студию.
—
andrew_b
(17.07.2007 08:58:30
89.18.130.241
,
пустое
)
может имеет ввиду диски 2 и 3 , И как тогда ей указатьо следующих, или их скопировать +
—
Net
(17.07.2007 08:57:50
80.92.96.34
, 13 байт)
Форум сглючило. Отвечал я тут :)
—
SM
(17.07.2007 11:36:18
85.21.237.237
,
пустое
,
ссылка
)
а это нормально, что она выходит в начальное меню
—
Net
(17.07.2007 11:56:19
80.92.96.34
,
пустое
)
Она должна просить подмонтировать очередной диск и спросить куда его подмонтировали.
—
SM
(17.07.2007 17:43:10
85.21.237.237
,
пустое
)
вот что пишет
—
Net
(18.07.2007 14:43:51
80.92.96.34
, 394 байт)
Нуу... Это надо копать где-то. Вы под рутом хоть это все делаете?
—
SM
(18.07.2007 19:30:13
85.21.237.237
,
пустое
)
да под рутом, вроде делаю, все как написано по установке, где хоть копать?
—
Net
(18.07.2007 21:28:31
80.92.96.34
,
пустое
)
Инсталляционный скрипт выполнять с разрешенным выводом на эран исполняемых команд. Похоже чего-то ему не хватает.
—
SM
(19.07.2007 11:51:10
85.21.237.237
,
пустое
)
Может у него по умолчанию shell не тот? Или например установлен awk а нужен gawk?
—
zlyh
(19.07.2007 20:07:49
195.218.214.53
,
пустое
)
ну shell везде первой строчкой в скрипте стоит :) А вот что что-то не то установлено, это точно. Я первый раз кажись когда их спектру ставил, тоже с чем-то таким повоевал, но не помню, и было это в RH9. В FC вообще ни с чем не воевал.
—
SM
(23.07.2007 22:43:38
80.92.255.53
,
пустое
)
я ставлю под RHEL 5 , а у каденса IUS 5.6 рекомендуют RHEL 3
—
Net
(23.07.2007 23:27:52
80.92.96.34
,
пустое
)
К сожалению никакой конктретики сказать не могу, с дистрами RHEL дел не имел.
—
SM
(24.07.2007 00:41:26
80.92.255.53
,
пустое
)
Единственное что так сразу... Мало ли... Мож какой SELinux затесался, отключить его нахрен. Столько гемора он мне в свое время насоздавал.
—
SM
(24.07.2007 00:42:31
80.92.255.53
,
пустое
)
под RHEL 3 ставится нормально.
—
Net
(24.07.2007 07:34:5
80.92.96.34
,
пустое
)
а как это сделать?
—
Net
(19.07.2007 15:34:24
80.92.96.34
,
пустое
)
Автомат состояний из StateCad отлично проверяется в StateBench но практически не работает в реальности. (Сорри, не знаю в какой форум это идет)
—
titanic
(09.07.2007 17:54:44
212.246.154.159
, 1278 байт,
картинка
)
Вопрос по использованию MAX+plus II
—
YuryD
(05.07.2007 12:37:57
77.109.16.114
, 322 байт)
Сделайте из вашего файла с компонентом SRAM" или файл символа для графики, или включаемый файл для AHDL
—
Stewart Little
(05.07.2007 13:37:20
82.140.81.2
, 54 байт)
Ответ: А дальше...
—
YuryD
(05.07.2007 13:53:2
77.109.16.114
, 437 байт)
VHDL в макс-плюсе??? Забудьте. В AHDL в топ-файл подключаются модули через include, в схематику - вставлением .sym И имя файла должно повторять один в один имя описанного в нем модуля.
—
SM
(05.07.2007 14:15:31
80.92.255.53
,
пустое
)
Ответ: А с VHDL в MAX+ никак? Я не знаю ни AHDL, ни sym редактора. Имею зачаточные значния в VHDL и Verilog. В глаза видел MAX+. Что, мои дела плохи?
—
YuryD
(05.07.2007 14:22:44
77.109.16.114
,
пустое
)
Угу, плохи. Вам в квартус тогда надо, если схематика не устраивает.
—
SM
(05.07.2007 16:38:26
80.92.255.53
,
пустое
)
Ответ:
—
SAZH
(05.07.2007 16:56:31
212.113.112.201
, 228 байт)
Ответ: Вы имели ввиду, что для проекта на ACEX1K Квартус не нужен, можно и MAX+ обойтись?
—
YuryD
(05.07.2007 17:57:57
77.109.16.190
,
пустое
)
Ответ:
—
SAZH
(05.07.2007 20:38:52
91.122.22.255
, 369 байт)
Да какая разница, какой чип. Главное, что чем новее квартус, тем сильнее синтезатор.
—
SM
(05.07.2007 18:23:37
80.92.255.53
,
пустое
)
Ответ: Я с Вами и тут встретился! Ну, а в чём, собственно, разница (+)
—
YuryD
(05.07.2007 18:35:38
77.109.16.190
, 398 байт)
Как чем? при грамотном обконстреивании скоростью или занимаемой площадью. За что всегда и боремся.
—
SM
(23.07.2007 22:45:19
80.92.255.53
,
пустое
)
Ну и поддержкой всяких там конструкций. С каждой версией верилог-ридер "растет" очень сильно. VHDL наверное тоже.
—
SM
(23.07.2007 22:46:32
80.92.255.53
,
пустое
)
Я так понял, что Вам посоветовали Quartus т.к. синтезируемое подмножество VHDL в нем шире. "Устойчивей" и "лучше работать" - это обычно зависит от разработчика :-)
—
Victor®
(06.07.2007 16:07:17
195.46.37.133
,
пустое
)
Ответ:
—
SAZH
(05.07.2007 16:19:42
212.113.112.201
, 231 байт)
А как в Квартусе на Verilog определить группу ФИФО, ну или иных лпм-ов..? (+)
—
IL-76
(29.06.2007 13:37:37
82.135.195.129
, 803 байт)
Два фифо: scfifo my_fifo [2:1] (..); Да, для Q, scfifo должно быть в списке файлов- setting files !
—
rustelcom
(30.06.2007 11:08:6
194.126.170.238
,
пустое
)
Ответ:
—
IL-76
(02.07.2007 16:32:6
82.135.195.129
, 110 байт)
Ответ:
—
IL-76
(02.07.2007 16:29:8
82.135.195.129
, 187 байт)
Ответ: это в общих чертах понятно, а в деталях не очень :) не могли бы Вы привести краткий пример?(+)
—
IL-76
(29.06.2007 13:46:16
82.135.195.129
, 100 байт)
"я не даю Вам рыба,
—
Fat_Robot
(29.06.2007 14:12:48
62.105.138.5
, 530 байт)
Ответ: благодарю :) то что надо!
—
IL-76
(02.07.2007 16:23:54
82.135.195.129
,
пустое
)
это для Fat_Robot
—
IL-76
(29.06.2007 13:47:21
82.135.195.129
,
пустое
)
generate .. endgenerate
—
Fat_Robot
(29.06.2007 13:41:1
62.105.138.5
, 8 байт)
Verilog preprocessor
—
Fat_Robot
(29.06.2007 12:39:30
62.105.138.5
, 225 байт)
ну или вместо С вставьте 4 и будет счастье :-)
—
Postoroniy_V
(29.06.2007 13:08:20
83.102.149.146
,
пустое
)
Это не спортивно
—
Fat_Robot
(29.06.2007 13:42:2
62.105.138.5
,
пустое
)
Потом, Вы сами понимаете, что пример весьма упрощенный,
—
Fat_Robot
(29.06.2007 13:44:6
62.105.138.5
, 37 байт)
generate тогда используйте
—
Postoroniy_V
(01.07.2007 00:30:16
87.255.1.52
,
пустое
)
никак ибо(+)
—
Postoroniy_V
(29.06.2007 13:06:52
83.102.149.146
, 83 байт)
Synplify
—
6kol`nik
(25.06.2007 09:48:29
unknown
, 1288 байт)
Ответ:
—
IO
(27.06.2007 16:40:42
82.179.167.16
, 962 байт)
Ответ: СПС
—
6kol`nik
(29.06.2007 00:33:44
89.178.103.8
, 65 байт)
inout должен на выходе когда его не читают в третьем состоянии висеть.
—
IO
(27.06.2007 16:44:5
82.179.167.16
,
пустое
)
Ответ:
—
6kol`nik
(29.06.2007 00:31:53
89.178.103.8
, 78 байт)
Подскажите, пожалуйста, где можно скачать проект UARTа на VHDL
—
zuuuuk
(17.06.2007 20:30:18
213.141.133.45
, 62 байт)
на GOOGLE
—
Victor®
(18.06.2007 12:48:40
195.46.37.133
,
пустое
)
На opencores
—
dmv
(18.06.2007 11:56:38
217.23.67.58
,
пустое
)
Существуют ли TCP-stackующие корки?
—
Любопытный
(12.06.2007 16:39:3
193.209.176.129
, 296 байт)
Ну, например можно сделать такую корку на базе NiosII+софт к нему.
—
Builder
(13.06.2007 17:38:20
81.25.47.235
,
пустое
)
Спасибо! Вопрос был – "существуют ли такие?"! Видимо – нет?
—
Любопытный
(14.06.2007 14:27:43
193.209.176.129
,
пустое
)
для любителей шащечек ->
—
Postoroniy_V
(14.06.2007 19:26:10
83.102.149.146
, 1 байт,
ссылка
)
Вам шашечки или ехать? Если ехать - Nios существует, софт для него - тоже, берёте и используете.
—
Buider
(14.06.2007 17:01:8
81.25.47.235
,
пустое
)
а смысл?
—
Postoroniy_V
(13.06.2007 16:28:5
83.102.149.146
,
пустое
)
все ушли на фронт :(
—
Любопытный
(13.06.2007 15:28:44
193.209.176.129
,
пустое
)
Поделитесь пялуста лекарством к АКТИВ ХДЛ 7.2 СП2 если можно
—
pragman
(10.06.2007 10:50:29
212.143.125.239
, 19 байт)
а в VHDL есть аналог вериложной внутриблочной задержки присвоения?
—
yes
(08.06.2007 15:57:46
87.236.81.130
, 140 байт)
Пункт 8.4 Signal assignment statement спецификации.
—
zlyh
(08.06.2007 16:32:3
195.218.214.53
, 141 байт)
Я не знаю что такое "внутриблочная задержка" в верилоге.(Не учил)
—
zlyh
(08.06.2007 16:33:53
195.218.214.53
,
пустое
)
спасибо, вопрос я решил, но если интересно -
—
yes
(08.06.2007 19:10:59
87.236.81.130
, 984 байт)
В VHDL последующая транзакция отменяет предыдущую (что, в общем-то, соответствует реалиям жизни :) ), а в Verilog - нет.
—
Stewart Little
(09.06.2007 11:14:20
82.140.81.2
, 49 байт)
вспомнил: VHDL оперирует не событиями, а драйверами сигналов, поэтому так как в верилоге не получается
—
yes
(08.06.2007 16:05:13
87.236.81.130
, 67 байт)
Есть.
—
Stewart Little
(08.06.2007 16:03:27
82.140.81.2
, 33 байт)
tnx! но это не то (правда я это пользовал только для continous asignment, а не в процессах)
—
yes
(08.06.2007 16:08:1
87.236.81.130
, 45 байт)
Поделитесь пожалуста декарством для Aldec @ctive hdl 7.2 или sp1
—
pragman
(31.05.2007 23:32:27
217.132.58.182
, 18 байт)
Est' tut kto
—
pragman
(07.06.2007 10:17:23
212.143.125.239
,
пустое
)
Help! LMX9820 firmware update (+)
—
bryk
(29.05.2007 14:33:32
89.179.115.146
, 279 байт)
Господа, поделитись ссылочками на толковыe книги по Verilog.
—
mag
(26.05.2007 10:59:25
217.118.81.41
,
пустое
)
electronix.ru/forum/ www.avaxhome.ru www.edaboard.com
—
Gate
(26.05.2007 12:47:3
88.201.128.69
,
пустое
)
Хотел pdf версию
—
mag
(28.05.2007 23:29:1
217.118.81.40
,
пустое
)
Разбираясь с ModelSim возник вопрос: Как правильно ему задавать входные сигналы ?
—
Digi
(23.05.2007 23:35:16
212.44.92.22
, 226 байт)
Пишите скрипт на tcl, а лучше тестбенч на HDL.
—
andrew_b
(24.05.2007 13:26:55
89.18.130.241
,
пустое
)
А как использовать Xilinx макросы (+)
—
x-ext
(16.05.2007 22:05:8
85.140.192.54
, 121 байт)
А кто нибудь видел лицензию для Quartus 7.1 и корки ? ;-)
—
SoftFAN
(16.05.2007 10:37:51
89.109.54.20
, 27 байт)
Ответ:
—
SFx
(16.05.2007 16:52:18
213.177.117.107
,
пустое
,
ссылка
)
Ответ: Перезалейте пожалуйста аспирин для Quartus 7.1
—
Sergey A. [Joker]
(12.11.2007 10:59:7
77.236.42.1
, 77 байт)
не могу прочитать *txt файл, если не трудно можно сделать интструкцию латынью
—
orange
(24.05.2007 03:20:32
74.115.87.64
,
пустое
)
стандартный ход +
—
id_gene
(24.05.2007 11:20:5
193.232.173.182
, 336 байт)
LVPECL
—
6kol`nik
(07.05.2007 14:15:54
213.85.115.166
, 169 байт)
Ответ:
—
SAZH
(07.05.2007 15:42:52
212.113.112.201
, 51 байт)
Низковольтная положительная эмиттерно-связанная логика. Гугл отменили чтоли?
—
omen
(07.05.2007 15:33:29
212.176.3.10
,
пустое
)
Параметры оптимизации Xilinx ISE 8.2 ... (+)
—
x-ext
(03.05.2007 22:07:54
85.141.206.79
, 459 байт)
Это нормально. Если ограничений на этот звон не было то ИСЕ свою работу сделал.
—
zlyh
(04.05.2007 15:11:29
194.186.73.110
,
пустое
)
А Как померять (+)
—
x-ext
(08.06.2007 18:29:47
89.19.167.221
, 183 байт)
ПРОБлемы! с OFFSET (+)
—
x-ext
(25.05.2007 00:24:27
85.140.217.189
, 467 байт)
Или другой вариант, избыточный но модный :-)
—
zlyh
(25.05.2007 09:51:22
195.218.214.53
, 168 байт)
ну так aclk и есть внешний...
—
x-ext
(25.05.2007 10:41:1
89.19.167.221
, 69 байт)
Не надо вообще расписывать буфера
—
zlyh
(25.05.2007 18:10:0
195.218.214.53
, 263 байт)
Заменил на ibufg - результат тотже (+)
—
x-ext
(25.05.2007 20:02:45
85.140.218.63
, 104 байт)
Эт уже теперь надо внимательно смотреть логи. А если буфера вообще не определять явно?
—
zlyh
(28.05.2007 10:20:56
195.218.214.53
,
пустое
)
Видимо, aclk не воспринимается как клок, потому что он не приходит на на ногу с GCLK. Да и зачем вы вставили все эти примитивы (ibuf, fd)? Это делает синтезатор сам.
—
andrew_b
(25.05.2007 09:03:28
89.18.130.241
,
пустое
)
Ответ: (+)
—
x-ext
(25.05.2007 11:00:49
89.19.167.221
, 375 байт)
BUFGMUX и IBUFG несколько разные вещи.
—
andrew_b
(25.05.2007 11:14:56
89.18.130.241
,
пустое
)
А как обычно делается это ограничение ? (+)
—
x-ext
(16.05.2007 22:12:2
85.140.192.54
, 40 байт)
Описание самих constrainеs в Constraints Guide.
—
zlyh
(17.05.2007 10:30:27
195.218.214.53
, 138 байт)
Да эт всё понятно... Как это оформлять и описание я знаю...
—
x-ext
(17.05.2007 15:52:44
89.19.167.221
, 269 байт)
Именно jitter применяется только к клоку. На простой сигнал есть MAXSKEW.
—
zlyh
(17.05.2007 18:16:28
195.218.214.53
,
пустое
)
спасибо, то что надо...
—
x-ext
(17.05.2007 19:29:0
89.19.167.221
,
пустое
)
Ответ: (+)
—
x-ext
(06.05.2007 16:15:36
85.141.70.80
, 67 байт)
Да, констрейнами. PERIOD и OFFSET как минимум.
—
zlyh
(07.05.2007 09:36:49
194.186.73.110
,
пустое
)
Как создавать IP-ядра Xilinx ? Какое ПО для этого необходимо? Имеется ISE и EDK ver, 7.1
—
stv74
(03.05.2007 16:02:45
62.76.192.5
,
пустое
)
про synplify под линухом вопрос - скомпилена fpga_862 (с их сайта) под GLIBC_2.0. а нынче 2.5 - как быть?
—
yes
(03.05.2007 14:02:32
87.236.81.130
, 369 байт,
ссылка
)
У меня вот кстати другой вопрос. Поставил себе (+)
—
SM
(03.05.2007 14:22:24
85.21.237.237
, 260 байт)
FC4 ? там вроде бы и не должно... а по поводу патча - наверно, слишком мало пользователей, чтобы среди них нашлись способные к gdb и дизасму
—
yes
(03.05.2007 15:07:48
87.236.81.130
,
пустое
)
А собственно по вопросу - я придерживаюсь варианта (+)
—
SM
(03.05.2007 15:21:39
85.21.237.237
, 291 байт)
2SM про IUS58 - прикольно в каденсе решили проблему кривого ius5.6 - там где 5.6 глючил, 5.8 валится со внутренней ошибкой.
—
yes
(27.04.2007 20:10:55
87.236.81.130
, 4 байт)
А по конкретнее, что это за такая проблема, убивающая любой IUS? Кстати нарыл DC FPGA, правда 2004.06 - интересует?
—
SM
(28.04.2007 13:35:20
85.21.249.17
,
пустое
)
не сильно :). мне DC глюков и для АЗИКа хватает - нафига еще проблемы с FPGA создавать?
—
yes
(28.04.2007 14:43:4
87.236.81.130
, 585 байт)
пользуясь случаем, вопрос про DC - какие у него ключи на выбрасывание цепей влияют?
—
yes
(28.04.2007 14:54:52
87.236.81.130
, 719 байт)
Ответ: (+)
—
SM
(28.04.2007 16:38:8
80.92.255.53
, 726 байт)
Ответ:
—
yes
(28.04.2007 19:55:18
87.236.81.130
, 1236 байт)
Ответ: (+)
—
SM
(02.05.2007 14:37:44
80.92.255.53
, 1307 байт)
за разгрупировку DW спасибо, а про ошибки analyze - врядли, ведь правильно синтезирует
—
yes
(02.05.2007 20:22:48
87.236.81.130
,
пустое
)
Ну где же правильно, если убивает нужные задействованные цепи! Или я опять не понял что-то.
—
SM
(02.05.2007 20:35:40
80.92.255.53
,
пустое
)
предполагаю так, потому что убивает или нет зависит от опций компиляции... compile не убивает, а compile_ultra убивает
—
yes
(03.05.2007 13:18:3
87.236.81.130
, 202 байт)
Так может ultra (+)
—
SM
(03.05.2007 14:17:5
85.21.237.237
, 705 байт)
безглючен Y-2006.06? про X-2005.09 и дипчип писал и авторы grlib писали - полно глюков
—
yes
(03.05.2007 15:19:54
87.236.81.130
, 148 байт)
Я как-то 2005.09 проскочил не заметив, а сейчас в topo в 2006 (+)
—
SM
(03.05.2007 15:25:48
85.21.237.237
, 425 байт)
стрелки наоборот, но надеюсь, что смог объяснить
—
yes
(28.04.2007 19:56:22
87.236.81.130
,
пустое
)
Да, теперь только праздников отвечу
—
SM
(28.04.2007 16:38:26
80.92.255.53
,
пустое
)
Помогите начинающему, пож-ста! Modelsim & Verilog
—
Валерий_П
(26.04.2007 11:13:18
213.24.188.33
, 1019 байт)
Чему равно начальное значение "out"? (out = out+1;)
—
zlyh
(26.04.2007 11:26:3
194.186.73.110
,
пустое
)
Спасибо за подсказку! Все заработало!
—
Валерий_П
(26.04.2007 11:39:12
213.24.188.33
,
пустое
)
Где можна взять библиотеку SYNOPSYS, а также нормальный numeric_std и std_logic_misc
—
viktor93
(24.04.2007 18:01:59
91.124.63.221
, 73 байт)
Спросите у кого-нибудь грамотного, что такое гугл, и немедленно воспользуйтесь советом. Через ~2 сек получите ответ на свой вопрос.
—
Gate
(24.04.2007 19:40:16
88.201.128.69
,
пустое
)
синхронизация, старт/стоп и т.д. литература
—
x-ext
(11.04.2007 12:59:49
89.19.167.221
, 136 байт)
Ответ:
-
Casatka
(29.12.2008 16:21:15
195.218.197.22
, 92 байт)
см. fpga4fun.com (прект - Serial interface (RS-232))
—
malfish
(11.04.2007 13:25:58
89.178.106.235
,
пустое
)
шина PCI
—
maxNTF
(11.04.2007 12:39:51
91.124.198.42
, 80 байт)
Здесь гляньте:
—
misyachniy
(12.04.2007 10:35:17
83.218.237.86
,
пустое
,
ссылка
)
Xilinx ISE 9.1
—
mole
(09.04.2007 11:20:10
81.195.196.84
, 97 байт)
Простой вопрос по VHDL.
—
JohnKorsh
(06.04.2007 16:57:58
193.192.159.2
, 288 байт)
Ответ: Есть много разных путей. можно поставить мультеплексор или объединить несколько шин по OR
—
Скучающий
(06.04.2007 17:57:57
212.113.108.67
,
пустое
)
Гораздо проще не забывать переводить драйвер сигнала в третье состояние с каждом процессе, выдающем сигнал на шину
—
Oldring
(06.04.2007 19:05:0
91.76.43.9
,
пустое
)
Ответ: Спасибо за совет с третьим состоянием. Работает.
—
JohnKorsh
(07.04.2007 16:34:46
195.98.166.138
,
пустое
)
Active HDL. Возможна ли трассировка библиотечных модулей?
—
guest
(04.04.2007 08:03:43
85.192.48.50
, 648 байт)
Можно ли в ISE 8.1 посмотреть распределение ресурсов,что то типа Project Navigator в Quartus?
—
Правдоруб
(03.04.2007 16:58:29
81.19.129.250
,
пустое
)
Ответ: в репортах все написано про ресурсы
—
axalay
(04.04.2007 22:37:50
213.141.133.47
,
пустое
)
Ответ: И про то, сколько ресурсов отводится на КАЖДЫЙ компонент в отдельности?
—
Правдоруб
(05.04.2007 14:22:45
81.19.129.250
,
пустое
)
Ответ: Окрой результат в Floorplanner-е там в дереве компонентов (в скобках после названия) есть
—
Olg
(05.04.2007 14:51:7
194.186.102.159
,
пустое
)
PLL
—
6kol`nik
(02.04.2007 15:59:2
213.85.115.166
, 50 байт)
это Phase Locked Loop(+)
—
Postoroniy_V
(02.04.2007 21:49:16
83.102.149.146
, 388 байт)
Ответ: СПС
—
6kol`nik
(13.04.2007 10:32:34
213.85.115.166
, 30 байт)
Организация обмена с устройством PCI-Express через DMA
—
alee
(02.04.2007 02:41:23
80.92.110.13
, 142 байт)
Смотри около моих постов весной прошлого года в "Программируемые логические схемы и их применение".
—
zlyh
(03.04.2007 16:47:3
194.186.73.110
,
пустое
)
Как на VHDL удобно описать временную диаграмму, состоящую из единообразных участков
—
guest
(01.04.2007 13:00:27
85.192.48.50
, 431 байт)
procedure использовать, а если на verilog писать то task(-)
—
Postoroniy_V
(01.04.2007 19:15:21
83.102.149.146
,
пустое
)
Ответ:
—
guest
(01.04.2007 22:51:23
85.192.48.50
, 96 байт)
Start bit
—
6kol`nik
(27.03.2007 12:17:53
213.85.115.166
, 668 байт)
Профильтруйте входной сигнал на более высокой тактовой.
—
тот самый
(30.03.2007 18:14:50
80.92.102.210
,
пустое
)
(+)
—
cdg
(29.03.2007 08:47:55
80.68.3.242
, 168 байт)
Ответ:
—
6kol`nik
(29.03.2007 15:47:41
213.85.115.166
, 67 байт)
Как вытащить *.exe вложение?
—
John_Korsh
(24.03.2007 19:07:36
195.98.166.138
, 539 байт)
Ответ:Извлёк вложение.....
—
JohnKorsh
(25.03.2007 11:53:45
193.192.159.2
, 211 байт)
Блочная память RAMB4_S16, её работа и моделирование
—
darkniisiis2
(15.03.2007 14:38:4
212.44.92.82
, 310 байт)
Ответ:
—
dmv
(16.03.2007 13:48:23
217.23.67.58
, 308 байт)
Ответ: по документации сигналы подаю правильно,но всё равно 0
—
darkniisiis2
(15.03.2007 16:02:49
212.44.92.82
,
пустое
)
Ответ:
—
Olg
(21.03.2007 22:01:17
87.237.112.30
, 18 байт)
Mojet kto imeet lekarstvo dlya Active HDL 7.2 ili SP1
—
pragman
(14.03.2007 17:46:2
212.143.125.239
, 18 байт)
И мне, если не сложно
—
urri
(19.03.2007 18:30:38
213.247.190.133
, 13 байт)
Ответ:
—
guest
(15.03.2007 22:55:58
85.192.48.50
, 57 байт)
Очень надо. Пожалуйста, если есть, кинте сюда: dm.pogrebnoy@rambler.ru
—
dm_pogrebnoy
(05.05.2007 21:31:20
85.113.205.111
,
пустое
)
вопрос к тем кто работал с альтеровским китом MAX II development board с плисиной EPM1270F256C5(+)
—
stud
(14.03.2007 10:47:33
82.179.67.254
, 542 байт)
Про VCCINT - не стоит греть внутренний стабилизатор чипа.
—
SM
(14.03.2007 12:21:58
85.21.237.237
,
пустое
)
так вроде бы VCCINT на плате теже 3.3 вольта ,или я ошибаюсь ?
—
stud
(14.03.2007 12:28:32
82.179.67.254
,
пустое
)
Вот этого не знаю, нет у меня схемы этой платы. Просто соображения. Я бы запитал от минимально возможного.
—
SM
(14.03.2007 12:39:39
85.21.237.237
,
пустое
)
вот из описания на плату(+)
—
stud
(14.03.2007 12:51:36
82.179.67.254
, 96 байт)
Ответ:
—
SAZH
(14.03.2007 13:56:53
212.113.112.201
, 469 байт)
так и я про то, раз в EPM1270F256C5 буквы G нет, то зачем для одного и того же напряжения использоапть два разных источника?
—
stud
(14.03.2007 15:27:59
82.179.67.254
,
пустое
)
Ответ:
—
SAZH
(14.03.2007 15:37:10
212.113.112.201
, 96 байт)
в том то и дело, что не виднее -))
—
stud
(14.03.2007 15:46:3
82.179.67.254
,
пустое
)
Там что, возможность переключения напряжения предусмотрена?
—
SM
(14.03.2007 13:09:17
85.21.237.237
,
пустое
)
ваша правда, там внутри регулятор стоит, у которого на входе 2.5 или 3.3 а на выходе 1.8 вольт
—
stud
(14.03.2007 15:31:20
82.179.67.254
,
пустое
)
насчет переключений не в курсе, там вроде внутренний стабилизатор
—
stud
(14.03.2007 15:26:46
82.179.67.254
,
пустое
)
Ответ:
—
wertwer
(15.06.2007 12:39:13
195.133.109.197
,
пустое
)
Ответ:
—
yuui
(15.06.2007 12:41:0
195.133.109.197
,
пустое
)
Ответ:
—
uyyu
(15.06.2007 12:41:32
195.133.109.197
,
пустое
)
Новое сообщение
|
Главная страница
|
Раздел "Электроника"
|
Карта сайта
Web
telesys.ru