Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Языки описания аппаратуры (VHDL и др.)

"я не даю Вам рыба,

Отправлено Fat_Robot 29 июня 2007 г. 14:12
В ответ на: Ответ: это в общих чертах понятно, а в деталях не очень :) не могли бы Вы привести краткий пример?(+) отправлено <font color=gray>IL-76</font> 29 июня 2007 г. 13:46

я даю Вам удочка"

generate
genvar m;
for (m = 0; m < number_of_multipliers; m=m+1) begin:mlt
mult_block #(
width_a,
width_b,
normalized_width
) mult (
.dataa(mult_a_reg_in[(m+1)*width_a - 1:m*width_a]),
.datab(mult_b_reg_in[(m+1)*width_b - 1:m*width_b]),
.signa(signa_reg),.signb(signb_reg),
.product(mult_out[(m+1)*(width_a + width_b) - 1 : m*(width_a + width_b)])
);
end
endgenerate


взято из
...\quartus\eda\fv_lib\verilog\altmult_add.v

Успехов

Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
увеличьте 3 в два раза:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru