[an error occurred while processing this directive]
«Телесистемы»:
Конференция «Языки описания аппаратуры (VHDL и др.)»
Страницы:
Текущая
62
61
60
59
58
57
56
55
54
53
52
51
50
49
48
47
46
45
44
43
42
41
40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
Новое сообщение
Регистрация
Телеконференции
——> Выберите конференцию
Микроконтроллеры <03.01.2024 22:59>
Программируемые логические схемы <03.01.2017 20:54>
Языки описания аппаратуры (VHDL и др.) <23.12.2018 20:16>
Цифровые сигнальные процессоры (DSP) <31.08.2023 09:00>
Аналоговая схемотехника <16.06.2022 18:58>
Голосования <08.12.2022 22:35>
Нагано
—
dems
(30.05.2003 16:14, 82 байт)
Ответ: http://forums.nagano.ru
—
Axe
(30.05.2003 16:49,
пустое
,
ссылка
)
Прошу вернуться к теме VHDL а нужен ли он. От 23.05. И высказать свое мнение. С учетом уже сказанного.
—
Rotten
(30.05.2003 14:54,
пустое
)
Прямо детский сад. Уж если С стал глобальным языком программирования.
—
Rotten
(30.05.2003 18:05, 847 байт)
Обратите внимание на Perl. ;)
—
Oldring
(01.06.2003 11:42, 74 байт)
Обратите внимание на Perl. ;)
—
Oldring
(01.06.2003 11:42, 74 байт)
Ответ: Язык программирования (описания )здесь не важен.
—
V61
(31.05.2003 12:40, 276 байт)
языки развиваються гораздо медленее чем средства разработки (+)
—
-=Sergei=-
(30.05.2003 20:28, 738 байт)
Это пользователи замедляют их развитие!
—
Rotten
(30.05.2003 20:58,
пустое
)
А их и не надо развивать (+)
—
-=Sergei=-
(30.05.2003 21:41, 417 байт)
Вы должно быть альтруист.. Это ж надо - столько личного времени потратить на воспитание нас, убогих..
—
Dr.Alex
(30.05.2003 18:17,
пустое
)
Ну если Вы убогий. Считайте, что 15 секунд.
—
Rotten
(30.05.2003 21:22,
пустое
)
Нужен ли автомобиль с двигателем внутреннего сгорания или с дизелем ? Нет не нужен. Электромобиль лучше. Так это ж только игрушка? Зато не дымит и атмосферу не портит. :)
—
Аналогия
(30.05.2003 17:29,
пустое
)
Водород сначала пусть японцы и американцы пользуют. А мы! Бородатые дворяне. Будем им как самым "умным" жопу потом вылизывать.
—
Rotten
(30.05.2003 21:19,
пустое
)
ASIC Вы тоже на C++ "программировать" собираетесь? Или на Visual Basic?
—
radio+
(30.05.2003 17:26,
пустое
)
Ктому времени уже помру. А кто ж такое запретит. Думаю с голоса уже ИИ программироваться будет.
—
Rotten
(30.05.2003 19:12, 271 байт)
Наверно я сглупил и в вопросе уже задал конфликт! Для привлечения внимания, но не ожидал такой резкой конфронтации между приверженцами разных языковых групп.
—
Rotten
(30.05.2003 16:33,
пустое
)
А какой конфронтации идет речь. Все пытаются показать вам, что вопрос не зрелый и наивный. Такие вопросы мучают только на этапе становления и не знания сути, зачем это надо. Есть ещё ABEL, PLDASM и FORT. А вот новое направление С. Можно было ещё всякие таблицы писать. Это совсем старое. Выберите себе, что вам подходит. И работайте, работайте.
—
KA
(30.05.2003 18:12,
пустое
)
Утрировать то не надо. C > VHDL,Verilog.
—
Rotten
(30.05.2003 18:20,
пустое
)
Господа! Ну вернитесь назад. И почитайте все мнения, а не в лоб на красную тряпку(VHDL), как бычки молодые.
—
Rotten
(30.05.2003 16:20,
пустое
)
А нафига вам столько мнений? Уже было много высказано в прошлый раз.. Ну коли хотите - получайте (+)
—
Dr.Alex
(30.05.2003 16:01, 540 байт)
Хе Хе. Дебильное мнение! Якобы достигшего совершенства подмастерья, который спаял пару транзисторов и горд от достигнутого результата.
—
Rotten
(30.05.2003 16:17,
пустое
)
Уж не знаю, что вы сами написали или спаяли. А так пример про транзистор. Прохожу собеседование в приличную фирму. На ряду с приличными вопросами. Нарисуйте, пожалуйста, ключ на транзисторе, чтоб диод зажегся. Я аж опешил. И спрашиваю это что шутка. Нет не шутка. Нарисуйте. Так чтоб оно работало. Вот тебе и транзистор самому распаять.
—
KA
(30.05.2003 16:27,
пустое
)
Может быть как раз я и входил в группу экспертов? Без этого Вы действительно ни хрена не знаете.
—
Rotten
(30.05.2003 16:36,
пустое
)
Beleidigen Sie andere Leite immer? Das ist ihr Kredo oder stehen Sie auf Wut.
—
KA
(30.05.2003 16:44,
пустое
)
Ничего оскорбительного не высказал между прочим. Просто хочется не голословных выпадов от начинающих. И замаскированное пренебрежение требует адекватного ответа.
—
Rotten
(30.05.2003 16:56,
пустое
)
А вы что сами не в состоянии решить, что для вас лучше. Есть выбор и это хорошо. Или вы пытаетесь выяснить, кто программирует на. С для HW. Все его тоже знают. Но никто по настоящему не использует.
—
KA
(30.05.2003 17:01,
пустое
)
Для себя я уже решил. Вспомните чему Вас учили в ВУЗе? И на сколько Вам это пригодилось?
—
Rotten
(30.05.2003 17:16,
пустое
)
Мужик, ты спращивал мнеие, тебе его высказали - ты его обосрал Ты для этого спрашивал?
—
andrew_b
(30.05.2003 16:22,
пустое
)
Мнение не конструктивно! И высказано без учета предидущих.
—
Rotten
(30.05.2003 16:38,
пустое
)
Что значит "конструктивное мнение" по поводу "а нах нужен VHDL"?
—
andrew_b
(30.05.2003 16:47,
пустое
)
Отсылаю Вас на 23.05!
—
Rotten
(30.05.2003 16:59,
пустое
)
Есть такая организация - IEEE. Она разрабатывает разные стандарты, в том числе и для Verilog и (да-да!) для VHDL. Внимание, вопрос: зачем она это делает?
—
andrew_b
(30.05.2003 17:11,
пустое
)
Для стандартизации.
—
Rotten
(30.05.2003 17:17,
пустое
)
А зачем стандартизовать не нужный, по-вашему, VHDL?
—
andrew_b
(30.05.2003 17:47,
пустое
)
Не надо с ног на голову переворачивать. VHDL - это первый стандартизированный язык. И слава и хвала ему, за то что он есть и будет в ближайшем будущем.
—
Rotten
(30.05.2003 18:24,
пустое
)
Ответ:
—
sex video chat
(19.09.2006 02:29
66.230.167.242
, 2822 байт,
ссылка
)
они бабки берут за разработку стандартов ;)
—
yes
(30.05.2003 17:16,
пустое
)
Без этой конторы. Был бы полный бардак. И бабки они не зря получают.
—
Rotten
(30.05.2003 17:23,
пустое
)
но верилог появился без ее помощи...
—
yes
(30.05.2003 18:43,
пустое
)
—
предЫдущих
(30.05.2003 16:44,
пустое
)
такой вопрос может человек решать для себя - нужен ли он. Но, например, я -
—
yes
(30.05.2003 15:47, 76 байт)
Я же не отвергаю! Естественно на чем нравиться на том и пишем. И абсолютно не умаляю достоинств VHDL - сам с него начинал. Других просто не было. Но то что от VHDL меня блевать тянет. НЕ СКРЫВАЮ.
—
Rotten
(30.05.2003 17:04,
пустое
)
Сейчас с вами, что то случиться. И мы вас некоторое время не будем видеть.
—
KA
(30.05.2003 17:29,
пустое
,
ссылка
)
Интересно? Кирпич сверху? Так у меня блочный дом.
—
Rotten
(30.05.2003 17:31,
пустое
)
надо тазик взять. А потом по ссылке пойти.
—
KA
(30.05.2003 18:14,
пустое
)
Пойду по логам провайдеров в понедельник. Извините, но не идиот с домашнего компа по подставам ползать. Хотя лет пять назад получал удовольствие. Некоторым крутым вмазать.
—
Rotten
(30.05.2003 20:56,
пустое
)
Остынет и жизнь покажется вам лучше.
—
KA
(31.05.2003 00:18,
пустое
)
он - VHDL
—
yes
(30.05.2003 15:48,
пустое
)
VHDL (как и другие, в принципе) СУЩЕСТВУЕТ! Поэтому ни Ваше, ни мое и ничье другое мнение ничего не изменит. Хотите - используйте, хотите - нет. Какой язык лучше, английский или французский? Ваш вопрос из разряда этих.
—
Victor®
(30.05.2003 15:11,
пустое
)
А если он не нужен, ты волевым усилием отменишь его?
—
urri
(30.05.2003 15:07,
пустое
)
Ну хватит уже священных войн. Сколько можно? Смысл-то в этом какой? Даешь "водяное перемирие"!
—
andrew_b
(30.05.2003 15:04,
пустое
)
вопрос по Max+Plus
—
pet
(29.05.2003 21:03, 164 байт)
Есть файл рапорта (*.rpt) - там все есть.
—
SAA
(30.05.2003 12:28,
пустое
)
кто-нибудь FLI/PLI в моделсиме под виндовс пользует?
—
yes
(29.05.2003 16:21, 83 байт)
Ответ:
—
Кн
(29.05.2003 17:16, 113 байт)
Перепрошивка ПЗУ...
—
nikan
(29.05.2003 10:08, 135 байт)
Вышлите plz файл лицензии на MaxPlus 10.1 (Full)
—
Семен
(27.05.2003 19:20,
пустое
)
Ответ:
—
behnam
(09.05.2004 16:31,
пустое
)
media wide
—
behnam
(09.05.2004 16:31,
пустое
)
Возьми EFA LicGen и сгенери сам.
—
cms
(27.05.2003 20:01,
пустое
)
Ответ:
—
Vincent
(30.03.2004 20:16,
пустое
)
ISE глючит или как ?
—
DmitriP
(27.05.2003 16:54, 1109 байт)
Ответ: Дмитрий, разве не проще это учесть в ucf ?
—
bryk
(28.05.2003 14:25, 44 байт)
Ответ:
—
DmitriP
(28.05.2003 15:02, 303 байт)
Ответ:
—
bryk
(28.05.2003 15:09, 19 байт)
Ответ: Все дело в операции - q<=data; см. стандарт. Если не секрет зачем такой изврат?
—
Serge
(28.05.2003 00:51,
пустое
)
Ответ:
—
DmitriP
(28.05.2003 10:56, 585 байт)
VHDL: Как преобразовать длинный вектор (>32 разрядов) в что-нибудь десятичное или шестнадцатиричное?
—
Pashka
(27.05.2003 14:04, 437 байт)
А с хексами какие проблемы?
—
andrew_b
(27.05.2003 14:07,
пустое
)
VHDL case позволяет только один оператор в ветке?
—
yes
(27.05.2003 10:08,
пустое
)
Нет, сколько угодно, как if, for, и т.д.
—
Pashka
(27.05.2003 10:35,
пустое
)
Как создать ROM16X1 в AHDL5.2XE.
—
finder
(27.05.2003 07:24, 708 байт)
RS-485(+)...
—
Иванов Евгений
(26.05.2003 23:21, 314 байт)
каким типом данных принято в VHDL пользоваться для описания арифметических узлов (счетчики, суматоры и т.п.)?
—
yes
(26.05.2003 19:01,
пустое
)
Ответ: личное мнение по достаточно больному для VHDL вопросу:
—
garik
(27.05.2003 14:52, 960 байт)
Я использую только "std_logic_vector", см. примеры (+)
—
ENV
(27.05.2003 10:07, 2952 байт)
Для счетчика я обычно использую INTEGER (см. Language Assistant в Active -HDL), изредка std_logic_vector
—
Elresearch
(26.05.2003 19:19,
пустое
)
Это кто к чему привык. Значения не имеет.
—
KA
(26.05.2003 21:46,
пустое
)
Полностью согласен
—
Elresearch
(27.05.2003 12:07,
пустое
)
еще вопрос по VHDL
—
yes
(26.05.2003 16:43, 137 байт)
вот собственно конструкция, где я облажался?
—
yes
(26.05.2003 17:26, 161 байт)
Так нельзя, это не верилог :) (+)
—
andrew_b
(26.05.2003 17:48, 105 байт)
ОК! я там еще со скобками напутал - прямо Лисп какой-то
—
yes
(26.05.2003 17:55, 145 байт)
все сигналы std_logic_vector, а outp - массив std_logic_vector,
—
yes
(26.05.2003 17:28,
пустое
)
а сдвиги (srl sll ...) для какого типа данных (из IEEE 1164) определены?
—
yes
(26.05.2003 17:09,
пустое
)
The shift operators are defined for the one-dimensional array with the elements of the type BIT or BOOLEAN. Если надо скину vhdl.hlp (удобно пользоваться Prism Editor - у него контекстный хелп по VHDL))
—
Victor®
(27.05.2003 13:09,
пустое
)
Например, x(1)(9)
—
andrew_b
(26.05.2003 16:55,
пустое
)
а какой тип получается x(1)(5 downto 2)? неполучается подобрать функцию To_StdLogicVector
—
yes
(26.05.2003 17:22,
пустое
)
и вообще как-нибудь узнать тип возвращаемых операцией данных можно?
—
yes
(26.05.2003 17:23,
пустое
)
source file Active-HDL. лежат в папке /vlib/ieee/src/*.vhd содержат шаблоны функций.
—
Elresearch
(26.05.2003 17:46,
пустое
)
у меня уже даже описание IEEE 1164 есть :), переформулируя вопрос - как узнать какую функцию "прооверлоадит" компилятор?
—
yes
(26.05.2003 17:59,
пустое
)
Т.е. из какой библиотеки?
—
Elresearch
(26.05.2003 19:12,
пустое
)
ну например возьмем std_logic_1164
—
yes
(26.05.2003 19:42, 945 байт)
Я никогда не использовал bit_vector и std_ulogic_vector, поэтому с такими трудностями никогда не сталкивался :). (+)
—
andrew_b
(27.05.2003 09:14, 479 байт)
это не то "равно" :) присвоение это := или <=
—
yes
(27.05.2003 09:27, 273 байт)
сдвиги для std_logic_vector переопределены в библиотеке TD_LOGIC_SIGNED (signed.vhd) как STD_LOGIC_VECTOR(SHL(SIGNED(ARG),UNSIGNED(COUNT)));
—
Elresearch
(27.05.2003 12:31,
пустое
)
Дык std_logic_vector и получается. О какой операции идет речь?
—
andrew_b
(26.05.2003 17:46,
пустое
)
произвольная операция с разными типами данных (надеюсь что мне не придется это делать)
—
yes
(26.05.2003 18:05, 248 байт)
VHDL - язык со строгой типизацией данных. Поэтому здесь не удастся присвоить абы что абы чему, надо следить за типами. Для перегруженных функций компилятор выберет нужную в зависимости от типов входных данных и результата.
—
andrew_b
(27.05.2003 09:09,
пустое
)
это ясно. была ошибка - синтез сообщал о несоответствии типа, и для To_StdLogic не мог найти прототипа
—
yes
(27.05.2003 09:19, 321 байт)
а для for...generate существует стандартное правило именования "сгенеренных" инстансов? (VHDL)
—
yes
(26.05.2003 16:03, 74 байт)
если кому интересно, то Synplify и Leonardo называют по-разному
—
yes
(26.05.2003 18:25, 144 байт)
В стандарте языка ничего не написано.
—
andrew_b
(27.05.2003 11:44,
пустое
)
a где можно найти описание IEEE 1164 библиотек (VHDL)?
—
yes
(26.05.2003 10:21,
пустое
)
всмысле: чтобы деньги IEEE не платить
—
yes
(26.05.2003 10:23,
пустое
)
Есть ...
—
Кн
(26.05.2003 11:06, 278 байт)
Ответ: И мне тоже, пожалуйста!!
—
IosifK
(26.05.2003 15:03,
пустое
)
Ответ:please и мне
—
dimay
(26.05.2003 13:51,
пустое
)
Я бы тоже поучавствовал
—
Alexaa
(26.05.2003 13:11,
пустое
)
и мне пожалуйста (+)
—
-=Sergei=-
(26.05.2003 12:30, 20 байт)
буду благодарен
—
yes
(26.05.2003 12:21, 28 байт)
Если не затруднит, и мне на е-mail
—
Sergey Yakovlev
(26.05.2003 11:35,
пустое
)
Помогите советом ...
—
Иванов Евгений
(23.05.2003 21:49, 1055 байт)
VHDL и нужен ли он?!!
—
Rotten
(23.05.2003 16:15, 426 байт)
Ответ: Характерная точка зрения для программиста,занявшегося разработкой железа...Ничего личного.
—
Serge
(25.05.2003 23:55, 682 байт)
Полностью поддерживаю.
—
Slavko
(26.05.2003 14:02, 384 байт)
Вы не совсем понимаете суть.
—
Rotten
(30.05.2003 12:11, 777 байт)
Ответ: Тогда вы должны понимать,что у любого инструмента есть своя область применения.И С-подобные инструменты еще не вышли даже на уровень VHDL/Verilog по эффективности,а про моделирование я и говорить не хочу.
—
Serge
(01.06.2003 19:53,
пустое
)
Рекомендую ознакомиться для расширения кругозора.
—
Sergey Yakovlev
(25.05.2003 13:36,
пустое
,
ссылка
)
Ну вот опять. Давайте заодно начнём обсуждение, что лучше Си или Паскаль, блондинки или брюнетки, седан или универсал, Спартак или Динамо.
—
dsmv
(23.05.2003 20:28, 1088 байт)
Вопрос: Есть ли конверторы из Си в VHDL (и где их можно раздобыть)?
—
Green
(06.11.2003 11:21, 224 байт)
Если бы Ваша программа была написана на русском Вы бы все равно ни хрена не поняли. Я лишь обсуждаю тенденции применения......
—
Rotten
(23.05.2003 20:54,
пустое
)
Если бы Ваша программа была написана на русском Вы бы все равно ни хрена не поняли. Я лишь обсуждаю тенденции применения......
—
Rotten
(23.05.2003 20:54,
пустое
)
ну и где сейчас эта АДА?
—
yes
(23.05.2003 20:34,
пустое
)
Кстати об Аде: язык используется и довольно широко в определенных применениях. Компиляторы его и только его являются полностью сертифицированными для life critical applications. Так что наука и удобства сами по себе, а реальная жизнь с учетом требований заказчика - сама по себе
—
Leoyv
(24.05.2003 01:32,
пустое
)
в специальных приложениях кобол с алголом живут еще...
—
yes
(26.05.2003 09:14,
пустое
)
Ничего подобного! Все просто высказывают мнение. И потом ругань насчет языков. Вами перечисленных - не актуальна.
—
Rotten
(23.05.2003 20:46,
пустое
)
В общем то действительно , пока сложно программеру объяснить, как железо работает. А без этого - любой язык для широкого применения - мертв.
—
Rotten
(23.05.2003 20:21,
пустое
)
Время течет быстро. С для железа - игрушка!? Пока! Если не транслировать в Verilog.
—
Rotten
(23.05.2003 19:42, 291 байт)
Меня вообще AHDL устраивает. Ну и изредка немного вериложности - обвязочки вых. ячейками, симуляция... Тоже считаю, что VHDL не нужен и противен.
—
SM
(23.05.2003 19:12,
пустое
)
VHDL - это не язык программирования, а язык описания аппаратуры. Начинающим надо начинать не с изучения языка.
—
radio+
(23.05.2003 19:01, 417 байт)
Ответ: Тошнит от обоих, а третьего не дано
—
Leoyv
(23.05.2003 17:59, 309 байт)
Вы не правы! Есть трансляторы с C в Verilog. И если не особо наезжать, довольно приемлемые.
—
Rotten
(23.05.2003 20:09,
пустое
)
Главный недостаток!!! Надо знать и то и то.
—
Rotten
(23.05.2003 20:11,
пустое
)
Главный недостаток: чтобы разрабатывать, нужно что-то знать... 8-)))
—
Victor Yurchenko
(26.05.2003 11:24,
пустое
)
ЕДИНСТВЕННЫЙ недостаток VHDL - сильная избыточность синтаксических конструкций, но, в общем при использовании для описания стиля RTL и достаточном количестве вспомогательных функций полючаются достаточно функциональные и компактные системы
—
lutik
(23.05.2003 17:41,
пустое
)
Избыточность, про которую Вы говорите, обеспечивает лучший отлов ошибок.
—
Victor®
(26.05.2003 10:30,
пустое
)
Ответ: Нужно понять, что программа, в конечном счете, превратится в прошивку ПЛИС.
—
V61
(23.05.2003 16:53, 208 байт)
Правильный посыл!!! Но в конечном итоге программа должна превратится в руководство к действию. Надеюсь лет через 5 Вы уже не будете программировать на уровне 2&~ttl.
—
Rotten
(23.05.2003 19:57,
пустое
)
интересно почему?
—
yes
(23.05.2003 18:32, 496 байт)
Сложно найти много умных и не ленивых. Например: все кого я знаю - по клаве лишний раз не ударят.
—
Rotten
(23.05.2003 20:01,
пустое
)
Чем Вам VHDL так "насолил"?
—
Elresearch
(23.05.2003 16:21,
пустое
)
Для программеров наверно проще VHDL? Для изначальных железячников и программеров на C `- Verilog, а если еще и удобства симуляции, для которых Verilog и был написан. То для VHDL остается малюсенькая ниша любителей.
—
Rotten
(23.05.2003 19:50,
пустое
)
Как раз для железячников легче VHDL, а для сишников Verilog. Это просто наблюдения. Как для меня VHDL структурирован лучше и большие проекты лучше читаются и отлаживаются.
—
KA
(23.05.2003 22:00,
пустое
)
а можно ли в VHDL описать декодер без case, if_else_if ?
—
yes
(22.05.2003 09:19, 267 байт)
спасибо, видимо приведение типов многих путает: to_integer, conv_integer, integer() - разница есть?
—
yes
(22.05.2003 16:49,
пустое
)
Ответ:
—
dsmv
(23.05.2003 12:04, 1209 байт)
спасибо - объяснение понятное.
—
yes
(23.05.2003 13:56,
пустое
)
Ответ: Можно, как выбор из массива.
—
V61
(22.05.2003 16:04, 206 байт)
Может так, через память.
—
dsmv
(22.05.2003 15:53, 235 байт)
Народ, очень прошу намылить или подсказать кряк для ModelSim 5.6e XE
—
kirgizz
(21.05.2003 13:47, 14 байт)
Ответ: Sorry, ModelSim XE II v5.6e
—
kirgizz
(21.05.2003 13:55, 27 байт)
ISE 5.2i ?
—
bryk
(21.05.2003 16:29,
пустое
)
Ответ: aga, ISE 5.2i
—
kirgizz
(21.05.2003 16:40,
пустое
)
Ответ:
—
bryk
(21.05.2003 16:50, 21 байт)
Синтезатор частот...
—
Bu_Ilder
(21.05.2003 13:30, 175 байт)
Проблемы не понимаю!? ACC и ROM с синусом или просто ACC для цифры. А как все считается описано в таком море литературы....
—
Rotten
(23.05.2003 15:53,
пустое
)
Rotten, помоги где можно найти или м.б. у тебя есть материал..???
—
Bu_Ilder
(25.05.2003 03:41, 273 байт)
Есть CORDIC на верилоге.
—
Stewart Little
(22.05.2003 17:26,
пустое
)
Stewart Little, а сильно AHDL от ВЕРИЛОГА отличается, если нет, то где найти...????
—
Bu_Ilder
(25.05.2003 03:47,
пустое
)
Подскажите по VHDL->?->altera.hex
—
BEAVIS
(21.05.2003 10:48, 466 байт)
Fir 64 tap на VHDL - проблема на выходе? :(
—
Home_Inc
(21.05.2003 04:17, 1104 байт)
Ответ: Так не делают.
—
V61
(21.05.2003 17:47, 252 байт)
Где он там находится, и соответственно как до него долезть ?
—
cdg
(21.05.2003 20:03,
пустое
)
Ответ: Tools => Ip core generator => Filters => PDA (SDA) FIR FILTER
—
V61
(22.05.2003 16:38, 243 байт)
Расширение знака. Типы приведи явно. С библиотекой определись.
—
zlyh
(21.05.2003 08:51,
пустое
)
Ответ: Библиотеки обычные (logic_1164,logic_arith), а про знак можно поподробнее
—
Home_Inc
(21.05.2003 12:04, 4 байт)
"HDL Chip Design: A Practical...." by Douglas J. Smith (Это не ссылка где взять)
—
zlyh
(21.05.2003 12:53, 953 байт)
Ответ:
—
hd
(11.04.2004 18:42,
пустое
)
Ответ:
—
hd
(11.04.2004 18:42, 3 байт)
Ответ: Этого я и боялся... :) Большой сенкс!
—
Home_Inc
(21.05.2003 13:31,
пустое
)
Сообщения об ошибке. HDL-editor. Xilinx Fnd. Series 3.1i
—
Butcher
(21.05.2003 00:07, 346 байт)
Посмотри в View Report. Если Checking license for Synopsys failed проверь путь к license файлу (если он есть :))
—
Elresearch
(23.05.2003 14:20,
пустое
)
Помогите начинающему - как в VHDL преобразовать тип INTEGER в STD_LOGIC_VECTOR ?
—
Uuftc
(19.05.2003 23:05,
пустое
)
Ответ:
—
Paulf
(20.05.2003 10:34, 851 байт)
Не надо изобретать велосипед, все уже есть в стандартных библиотеках, см. ответ Пашки.
—
andrew_b
(20.05.2003 11:04,
пустое
)
Примерно так
—
Pashka
(20.05.2003 09:32, 224 байт)
Спасибо, именно это и имел ввиду. А еще вопрос - где можно найти описание стандартных библиотек VHDL ieee.*
—
Uuftc
(20.05.2003 14:49,
пустое
)
Я обычно смотрю source file.У Active-HDL лежат в папке /vlib/ieee/src/*.vhd Есть еще описание в книге Е. Суворовой и Ю. Шейнина "Проектирование цифровых систем на VHDL" или в inete
—
Elresearch
(20.05.2003 16:06,
пустое
,
ссылка
)
А что за книга такая? Стоящая?
—
Pashka
(20.05.2003 17:56,
пустое
)
Да так себе, в основном заточена под почивший в бозе Orcad Express.
—
Stewart Little
(21.05.2003 11:26, 67 байт)
А какой синтезатор работает с System C
—
Igor Lapshin
(19.05.2003 19:51, 471 байт)
симулятор какой - понятно (по крайней мере gcc и vc++ работают)
—
yes
(20.05.2003 09:01, 547 байт)
Ответ: Вчера заходил я на сайт
—
Igor Lapshin
(20.05.2003 13:33, 372 байт)
есть подход, когда SystemC в верилог конвертируется,
—
yes
(20.05.2003 17:03, 267 байт)
Ответ: Да я видел на этом сайте такой конвертор
—
Igor Lapshin
(20.05.2003 19:22, 53 байт)
clock'EVENT в библиотеках Квартуса
—
Paulf
(18.05.2003 22:03, 360 байт)
Наверное можно привести сигналы на входе модуля к '1' или '0', например через функцию To_X01()
—
dsmv
(20.05.2003 15:16, 103 байт)
Я где-то встречал, что rising_edge(clock) больше подходит для моделирования, clock'EVENT and clock='1 для синтеза.
—
Victor®
(19.05.2003 13:36,
пустое
)
Для синтеза без разницы, EVENT или rising_edge
—
Paulf
(19.05.2003 13:55,
пустое
)
Ответ:
—
sex video chat
(19.09.2006 02:29
66.230.167.242
, 2822 байт,
ссылка
)
Ответ:
—
free sex cams
(18.09.2006 20:00
66.230.167.242
, 2883 байт,
ссылка
)
Согласен (+)
—
andrew_b
(19.05.2003 14:44, 345 байт)
VHDL - архаизм!
—
bryk
(19.05.2003 13:27,
пустое
)
Обоснуйте! А что не архаизм?
—
Victor®
(19.05.2003 13:34,
пустое
)
А за чем обосновывать? Время воинствующих дилетантов еще не кончилось. Не согласны, пишите: "bryk-архаизм!"
—
misyachniy
(19.05.2003 18:50,
пустое
)
Ответ:
—
bryk
(20.05.2003 10:14, 383 байт)
При чем тут язык, думать надо уметь!
—
radio+
(20.05.2003 12:09,
пустое
)
язык развивает способность думать или способность думать развивает язык ... не известно, но связь есть
—
yes
(21.05.2003 09:27, 61 байт)
Вы бредите, Гражданин.....
—
Зок Мёдов
(20.05.2003 11:08,
пустое
)
Так можно в MAX(AHDL) вообще ничего не писать. А нарисовать в графическом редакторе схему и получить результат. Просто нажимая две клавиши мышки :-)))
—
misyachniy
(20.05.2003 12:40,
пустое
)
Можно даже только одну клавишу :)
—
Зок Мёдов
(20.05.2003 12:47,
пустое
)
Давайте откроем обсуждение, лично я использую две клавиши мыши:-)
—
dsmv
(20.05.2003 13:07,
пустое
)
Люди! нет ли у кого описания протокола RS232 на языке VHDL
—
Pet
(18.05.2003 15:53, 96 байт)
Не очень понятно - стандарт 232 определяет ТОЛЬКО электрические параметры, не касаясь никоим образом протоколов. А тут возникает вопрос - чего именно надо, толи описание физического интерфейса, но тогда VHDL/AHDL тут ни причем, толи описание UART-а, тогда другое дело...
—
Leoyv
(19.05.2003 02:12,
пустое
)
Amplify с поддержкой S3!!! Плиииииз.
—
Rotten
(16.05.2003 17:57,
пустое
)
Icarus Verilog и оболочки. Кто пользовал? И каковы впечатления?
—
Rotten
(16.05.2003 15:51,
пустое
)
из free-шных тулзов для PC+XILINX у меня прижился GTKWave (VCD viewer)
—
yes
(19.05.2003 09:46,
пустое
)
Ответ:
—
dgdfgh
(18.11.2003 08:35,
пустое
)
про Icarus я в другой конфе ответил, а здесь список ссылок на свободные (и не очень) симуляторы
—
yes
(19.05.2003 09:44,
пустое
,
ссылка
)
Кто-нибуть знает системы документирования для VHDL?
—
dsmv
(16.05.2003 10:52, 238 байт)
Не совсем это, но похожее есть в HDL Designer
—
radio+
(16.05.2003 11:57, 56 байт)
В Aldecе тоже есть возможность сохранить VHDL как HTML, но это не то.
—
dsmv
(16.05.2003 13:15,
пустое
)
Кто работал с Faundation 4.1, а именно создавал транслировал свой проект в svf-файл ??? Почему некорректно это делается?
—
Руслан
(14.05.2003 15:20, 410 байт)
Ответ: Почему некорректно???
—
AOSP
(15.05.2003 09:28, 108 байт)
кто говорил про свёртку? маска применяется к числу, которое мы получаем и сравниваем его с числом, стоящим после TDO-инструкции. Я это и имел ввиду. И вообще, Foundation 2.1 делает всё правильно.
—
Руслан
(15.05.2003 14:25, 773 байт)
У меня были похожие трудности
—
Paulf
(19.05.2003 14:00, 151 байт)
eProduct Designer 3.0, Mentor Precision 2003a, Celoxica DK1.1. Email
—
Vlad23
(14.05.2003 06:33,
пустое
,
ссылка
)
По поводу арбитра со сменой приоритетов
—
gramalexus
(13.05.2003 18:28, 85 байт)
Подскажите по Verilog(+)
—
cdg
(12.05.2003 15:33, 260 байт)
Ответ:
—
bryk
(12.05.2003 20:25, 194 байт)
может так можно (но я даже не знаю что это за wait) - проще (imho) так
—
yes
(13.05.2003 08:45, 131 байт)
Оператор wait используется для приостановки конкурентно исполняемого блока до тех пор, пока не будет выполнено его условие.
—
cdg
(13.05.2003 14:51, 1 байт)
и у него условие есть? я просто никогда раньше не пользовал wait и не видел в чужих сорцах
—
yes
(13.05.2003 16:19,
пустое
)
в смысле возможности использовать в initial или безусловном always
—
yes
(13.05.2003 16:24,
пустое
)
Я его в initial использовал, а в always незнаю даже как.
—
cdg
(13.05.2003 16:48,
пустое
)
wait (Condition)
—
cdg
(13.05.2003 17:02,
пустое
)
Ответ:
—
cdg
(13.05.2003 17:36,
пустое
)
понял - wait - level sensitive
—
yes
(13.05.2003 18:15,
пустое
)
точно wait (edge Wr) не работает.
—
cdg
(13.05.2003 18:27,
пустое
)
Ответ:
—
bryk
(13.05.2003 12:09, 192 байт)
А wait (posedge WR), что не работает ?
—
cdg
(13.05.2003 17:37,
пустое
)
Ко всем откликнувшимся! еще раз про wait(+)
—
cdg
(13.05.2003 13:33, 801 байт)
должно работать - между @ и # семантической(???) разницы нет - то есть - там где можно использовать # time , можно использовать @ event
—
yes
(13.05.2003 16:22, 28 байт)
проблема не в #time, а в кокретной задержке пока событи не наступит, если использовать просто @(Wr) то только в одном месте tesbench в первом, где он встречается он будет работать, в остальных нет, у меня так выходит.
—
cdg
(13.05.2003 16:51,
пустое
)
я написал @(posedge wr), а не @(wr)
—
yes
(13.05.2003 18:11, 261 байт)
"wait" работает 100%. Эх кижонку каку нибудь по моделированию на Verilog поиметь бы.... У буржуев много, но как заказать непонятно, может есть что либо, скиньте на мыло, буду благодарен.
—
cdg
(13.05.2003 14:35,
пустое
)
Все на нагано. Работает стабильно и много ++++++
—
Форум
(12.05.2003 11:48,
пустое
)
Зачем делают #1 в исходниках?
—
AOSP
(07.05.2003 19:25, 745 байт)
? #1 на верилоге в неблокирующих назначениях в синтезируемых описаниях
—
AOSP
(08.05.2003 19:42, 1143 байт)
такое описание даст 1 нс задержку - позволяет на диаграмме "причинную" зависимомть увидеть
—
yes
(13.05.2003 08:38,
пустое
)
Таким образом учитывается задержка распространения сигнала при моделировании, а при синтезе эта задержка игнорируется.
—
lyn
(08.05.2003 04:57,
пустое
)
Ответ: (пока не понятно)
—
AOSP
(08.05.2003 10:45, 476 байт)
Нужны только для функциональной симуляциии. Без мнимых задержек туфта получится.
—
Rotten
(08.05.2003 19:36,
пустое
)
Ответ:
—
AOSP
(08.05.2003 19:46, 149 байт)
Cliff Cummings про пользу и вред #1
—
andy123
(12.05.2003 18:14,
пустое
,
ссылка
)
Ответ:
—
AOSP
(12.05.2003 19:31, 327 байт)
Слабо перевести всем миром кое-что из творчества гуру?
—
andy123
(12.05.2003 20:09, 143 байт)
Ответ: Я попробую своих заставить ;)
—
AOSP
(13.05.2003 09:24, 81 байт)
Один из вариантов, для чего такое ставиться (+)
—
-=Sergei=-
(08.05.2003 12:59, 573 байт)
вопрос сформулирован непонятно (предположим это о верилоге)
—
yes
(08.05.2003 11:50, 689 байт)
Есть ли у кого-нибуль A*m*p*l*i*f*y (последний - если не ошибаюсь 3.20)
—
Leoyv
(07.05.2003 13:30,
пустое
)
Ждемс с нетерпением. Мне чтоб в S3 1000 чуть чуть надо утоптать. Пробовал из S 7.2.3 Amplify 3.1 подправить - не получилось. Может кто более смышленый? Это ж почти одно и то снаружи.
—
Rotten
(08.05.2003 17:41,
пустое
)
Ответ: А чем не устраивает 3.10. Или обязательно нужно самый-самый последний релиз?
—
Serge
(07.05.2003 16:34,
пустое
)
поддержка новых кристаллов :)))
—
Leoyv
(07.05.2003 19:01,
пустое
)
Изучаю VHDL, не могу понять, подскажите пож-а. ...
—
UR
(07.05.2003 10:26, 310 байт)
Ответ: Ну так поставте условие например (+)
—
Dzik
(07.05.2003 11:06, 247 байт)
OK! :))
—
UR
(07.05.2003 11:26,
пустое
)
Уважаемые, помогите советом
—
lutik
(06.05.2003 18:15, 295 байт)
Приоритет одного выше должен быть. В чем проблема то?
—
Rotten
(08.05.2003 19:39,
пустое
)
Всем заинтересованным - можно встретиться на "Связь-Экспокомм"
—
Stewart Little
(06.05.2003 14:49, 170 байт)
народ, знает ли кто как передавать данные через LPT порт под ХР? заранее спасибо
—
AndreiL
(05.05.2003 13:57,
пустое
)
Если достаточно - то через альтеровский драйвер байтбластера. Там где-то исходники работы с ним давали. Если мало - то uppdd.sys от thesycon. Если хочется геморроя - то писать самому class driver или пользовать windriver от jungo.
—
SM
(06.05.2003 23:01,
пустое
)
Ответ: Примерно так
—
Vjacheslav
(05.05.2003 15:44, 1318 байт)
Ответ: Извините за описку
—
Vjacheslav
(05.05.2003 15:47, 63 байт)
ModelSim 5.7 может что не так делаю?
—
Golovkin Ilya
(04.05.2003 10:54, 249 байт)
SONET/SDH стандарты - где взять?
—
Po Hab Nik
(04.05.2003 10:38, 270 байт)
За бабки без проблем. На халяву попробуйте Draft поискать в сети. Последний Draft на 99.9 соответствует.
—
Rotten
(08.05.2003 18:00,
пустое
)
Koд для ISE 5.1i
—
ieee
(02.05.2003 23:26, 22 байт)
Ответ: SN for Xilinx
—
miki
(03.05.2003 13:20, 127 байт)
А не поделится ли кто-нибудь реализацией протокола CAN на VHDL или же выполненой в МАХ++
—
Dmitrich
(29.04.2003 18:45,
пустое
)
Ответ: На Opencores.org есть.
—
Eugenius
(04.05.2003 14:03, 322 байт)
Ответ:
—
Dmitrich
(05.05.2003 11:05, 220 байт)
Ответ: Все закрыто
—
Eugenius
(06.05.2003 16:34, 266 байт)
Ответ:
—
Dmitrich
(07.05.2003 14:30, 313 байт)
Где найти хоть какое описане на русском для LabVIEW ???!
—
=DIMA=
(29.04.2003 09:38, 123 байт)
Ответ: LabVIEW book
—
Oleg
(27.06.2003 22:32, 53 байт,
ссылка
)
посмотри по ссылке, кроме этого была где то книжка называется
—
Sergey Yakovlev
(02.05.2003 20:12, 47 байт,
ссылка
)
Aldec 6.1 1289. Отвечу на email после 12.05
—
Vlad23
(27.04.2003 07:04,
пустое
)
Может быть кто-нибудь поделится VHDL корoм 8bit, или16bit CPU (RISC)? Спасибо
—
fedoro
(25.04.2003 15:12,
пустое
)
www.opencores.org
—
bryk
(28.04.2003 11:11,
пустое
)
люди кто знает где можно раздобыть макрофункцию для MAX+2 приемо передачика с NRZI кодированием и CRC или на VHDL чтонибудь на эту тему...?
—
igor_TI
(24.04.2003 15:32,
пустое
)
Ответ: Не иначе USB родишь ... Блин, во-первых самому написать легче, а во-вторых если такой ленивый залезь на www.opencores.org, сопри USB, вырежи CRC и NRZI и скачай для test bench bitsream, он в pdf на usb.org ...
—
ICdiver
(26.04.2003 12:38,
пустое
)
Precision synthesis 2003a, A-HDL 6.1, Xilinx ISE 5.2 и другое. Email
—
Vlad23
(24.04.2003 06:46,
пустое
,
ссылка
)
Руки кривые или как ? (+) ModelSim 5.7c
—
kenat
(23.04.2003 17:59, 496 байт)
Была такая хрень...(+)
—
Dimych1976
(23.04.2003 20:52, 237 байт)
Дык сетевая карта стоит :))
—
kenat
(24.04.2003 14:00,
пустое
)
Заполнить форму для отправки сообщения
|||
Телеконференции
|||
Главная страница
|||
Конференция без кадра
|||
Архив без кадра