[an error occurred while processing this directive]
вот собственно конструкция, где я облажался?
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено yes 26 мая 2003 г. 17:26
В ответ на: еще вопрос по VHDL отправлено yes 26 мая 2003 г. 16:43

(indextmp & datatmp)<=To_StdLogicVector((outp(0)(30 downto 28)) and X"5") or ((outp(0)(27 downto 0) & "0000") and X"a5a5a5a0") or (outp(1) and X"5A5A5A5A");

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru