[an error occurred while processing this directive]
Ответ: Так не делают.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено V61 21 мая 2003 г. 17:47
В ответ на: Fir 64 tap на VHDL - проблема на выходе? :( отправлено Home_Inc 21 мая 2003 г. 04:17

В Active HDL (> 4.2) есть генератор фильтров. Генерирует достаточно приличные Fir-фильтры.
Если характеристики (оборудование, частота ) не устраивают, можно подправить в VHDL программе.

Само собой сумма модулей коэффициентов должна быть < 1.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru