[an error occurred while processing this directive]
Это кто к чему привык. Значения не имеет.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено KA 26 мая 2003 г. 21:46
В ответ на: Для счетчика я обычно использую INTEGER (см. Language Assistant в Active -HDL), изредка std_logic_vector отправлено Elresearch 26 мая 2003 г. 19:19


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru