[an error occurred while processing this directive]
«Телесистемы»:
Конференция «Языки описания аппаратуры (VHDL и др.)»
Страницы:
Текущая
62
61
60
59
58
57
56
55
54
53
52
51
50
49
48
47
46
45
44
43
42
41
40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
Новое сообщение
Регистрация
Телеконференции
——> Выберите конференцию
Микроконтроллеры <22.09.2023 13:56>
Программируемые логические схемы <03.01.2017 20:54>
Языки описания аппаратуры (VHDL и др.) <23.12.2018 20:16>
Цифровые сигнальные процессоры (DSP) <31.08.2023 09:00>
Аналоговая схемотехника <16.06.2022 18:58>
Голосования <08.12.2022 22:35>
У кого-нибудь есть лекарство от AWR.MWO 2003.V6.01? Плиз...
—
Sandy
(21.05.2004 19:38,
пустое
)
типо, КРИК ДУШИ!!!!
—
kasatik
(21.05.2004 17:40, 588 байт)
Положи его куда-то.
—
V61
(24.05.2004 11:16,
пустое
)
В ISE 6.1 пойдёт?
—
druzhin
(24.05.2004 10:33,
пустое
)
Где обитаешь территориально?
—
-=Sergei=-
(21.05.2004 19:23, 147 байт)
Ответ:
—
kasatik
(22.05.2004 16:11, 347 байт)
help , verilog PSL assertion don't work in Modelsim 5.8
—
eagle
(21.05.2004 14:14,
пустое
)
Multisim 2001 & Max+Plus 10.2
—
Администратор
(21.05.2004 06:37, 152 байт)
прикол с лицензией на FPGA Advantech 6.2!!!!
—
kasatik
(20.05.2004 15:05, 344 байт)
Чтобы сетевая не мешала, надо помимо TCPIP установить любой другой протокол н-р Netbeui или NWLink и даже не подключенная к локалке сетевуха прекрасно выдает свой HostID, так я запустил System Vision от Mentor
—
vvvvv
(21.05.2004 14:56,
пустое
)
У кого-нибудь есть рабочая версия пакета ZUBR?
—
plisik
(20.05.2004 13:06,
пустое
)
Винт погиб и с ним.... помогите с лицензией на ModelSim 5.7a, не бросайте в беде
—
chaplya
(20.05.2004 08:47,
пустое
)
Делай так: Google -> modelsim crack. И всё тебе будет.
—
druzhin
(20.05.2004 10:25,
пустое
)
Ладно, так уж и быть, уговорил - чек мыл chaplya!
—
druzhin
(20.05.2004 10:41,
пустое
)
Ответ: Как говорилось в одном мультике "делай хорошее делло и бросай его в воду..." вот и мне оно вернулось!
—
chaplya
(20.05.2004 11:25,
пустое
)
Народ.ру!!! поделитесь лицензией на FPGA Advantech 6.2 ПОЖАЙЛУСТА!!!!!
—
kasatik
(19.05.2004 16:12, 66 байт)
Ответ:
—
Vitalik
(24.05.2004 09:07,
пустое
)
лови
—
vvvvv
(19.05.2004 19:47,
пустое
)
И мне, пожалуйста.
—
Aw
(24.05.2004 14:07,
пустое
)
Ответ: Уважаемый VVVVV можно и мне лицензию. Заранее спасибо.
—
Vitalik
(24.05.2004 09:12,
пустое
)
Help нужна спецификация Ethernet!!!
—
UIC
(19.05.2004 12:29,
пустое
)
Ответ: на www.protocols.ru всё есть
—
alex_i
(21.05.2004 12:19,
пустое
)
Народ, помогите!!!! край как нужно!!! синтез схемы по VHDL
—
kasatik
(17.05.2004 23:08, 244 байт)
Ответ:
—
UIC
(19.05.2004 12:06, 45 байт)
А что он потом с этим делать будет. Это же не читабельно. Человек просто это еще не знает.
—
полевик
(19.05.2004 16:57,
пустое
)
A chto vam Synplify vydal?
—
KA
(18.05.2004 23:56,
пустое
)
Ответ:
—
kasatik
(19.05.2004 06:34, 190 байт)
pizhny Tabletki ot zhadnosti.
—
KA
(19.05.2004 08:32,
пустое
)
Ответ:
—
kasatik
(19.05.2004 09:49, 203 байт)
Вот чкловек сразу взял и догадался, что за таблетки такие :)))
—
-=Sergei=-
(19.05.2004 09:20, 194 байт)
Почему-то в не симулируются триггеры и счётчики в Aldec - Active-HDL 6.1
—
a
(14.05.2004 08:37, 325 байт)
Знатоки, подскажите как получить в Xilinx Foundation ISE 3.1i файлы с расширением *.EDF и *.TBL ???
—
dipol22
(14.05.2004 07:28,
пустое
)
По FastChip есть вопросик (+)
—
Mit_new
(13.05.2004 16:38, 329 байт)
Какая версия FastChip'а ? Какая версия Synplify ? Присылайте VHDL'ный исходник - я попробую.
—
Stewart Little
(13.05.2004 17:05,
пустое
)
Все заработало! Я сам дурак :) (+)
—
Mit_new
(14.05.2004 18:55, 329 байт)
Подскажите пожалуйста, где можно достать полный вариант книги Writing Testbenches: Function Verification of HDL Models (Janick Bergeron ISBN 1-4020-7401-8) Заранее благодарен
—
toki_s
(12.05.2004 20:38,
пустое
)
Есть сканированный pdf (примерно 138 метров). Завтра могу положить на ftp.
—
Stewart Little
(13.05.2004 14:05,
пустое
)
Writing Testbenches: Function Verification of HDL Models
-
ILL
(17.10.2008 01:27:50
88.153.10.201
, 119 байт)
to Stewart Little: можно и мне линк?!
—
Doka
(18.05.2004 16:13, 15 байт)
Э-т бы здорово - если еще Вы и сообщите на какой ftp и как туда попасть
—
LeonY
(13.05.2004 16:36,
пустое
)
Буду благодарен.
—
toki_s
(13.05.2004 14:13, 65 байт)
Можно поинтересоваться судьбой обещанной книжки ?
—
toki_s
(14.05.2004 12:23,
пустое
)
e-mail свой сообщи - зашлю линк.
—
Stewart Little
(14.05.2004 12:50,
пустое
)
А мне ?Пожалуйста
—
vvvvv
(17.05.2004 13:01,
пустое
)
Ответ: И мне пожалуйста. osa_work@rambler.ru
—
ОС
(17.05.2004 10:24,
пустое
)
А можно и мне?
—
Mavr
(14.05.2004 21:09,
пустое
)
toki_s@mail.ru Жду.
—
toki_s
(14.05.2004 12:51,
пустое
)
ушло
—
Stewart Little
(14.05.2004 13:16,
пустое
)
У поляков было.
—
Кн
(13.05.2004 09:39,
пустое
)
Мне бы ссылочку на это секретное место. Я вчера весь день искал - но в инете есть только PREFACE и вторая глава. Все говорят, что книжка стоящая.
—
toki_s
(13.05.2004 10:13,
пустое
)
Ответ:
—
Кн
(13.05.2004 11:57, 117 байт)
Хм... Там тоже только Preface и 2-3 главы.
—
toki_s
(13.05.2004 12:43, 155 байт)
Ответ:
—
Кн
(13.05.2004 14:00, 118 байт)
Я из Москвы
—
toki_s
(13.05.2004 14:15,
пустое
)
Кто имеет научные труды по сжатию АДИКМ (ADPCM). Прошу отозваться!
—
Умник
(11.05.2004 13:44,
пустое
)
Есть труды - а что конкретно интересует?
—
zerg78
(29.05.2004 21:47,
пустое
)
У меня ЕСТЬ G 7 2 6 на РУССКОМ !!! (меняю только на английскую версию)
—
пересмешник
(11.05.2004 21:59,
пустое
)
Умник, а на английском у тебя G.726 есть ?
—
vvvvv
(11.05.2004 21:56,
пустое
)
Leonardo Spectrum не находит библиотеку XilinxCoreLib. Как ее подключить?
—
M_Pete
(07.05.2004 16:24, 387 байт)
Ответ: (+)
—
Doka
(18.05.2004 13:55, 241 байт)
есть некая простая логическая схема, которую нужно раз 1000 повторить, а выходы соединить через XOR... как это сделать?
—
filin
(07.05.2004 13:51,
пустое
)
Элементарно. На VHDL --- смотреть в сторону for...generate и IEEE.std_logic_misc.xor_reduce
—
andrew_b
(07.05.2004 14:25,
пустое
)
Подскажите please VHDL_модель сумматора со сквозным переносом.
—
Boroda
(04.05.2004 19:18,
пустое
)
Вопрос о софте от Denali
—
LeonY
(04.05.2004 18:28, 129 байт)
Пробовал давно MemoryModeler
—
Кн
(05.05.2004 10:26, 181 байт)
Кому тут нужен был стандарт G.721 на русском языке?
—
Yury V. Savin
(04.05.2004 15:49, 11 байт,
ссылка
)
Все вьехал - ссылку Вы дали http:\\savin.... , а надо было http:\\ www.savin..... Поэтому и не пускала. Все равно большое спасибо.
—
vvvvv
(11.05.2004 21:08,
пустое
)
Не могу скачать- она почемуто сразу пробрасывает на hotbox(start.php)
—
vvvvv
(11.05.2004 20:57,
пустое
)
Чегой-то не пускает ?
—
vvvvv
(05.05.2004 12:31,
пустое
)
У меня все работает. Если очень нужно - вышлю по e-mail.
—
Yury V. Savin
(06.05.2004 17:42,
пустое
)
Если не затруднит...
—
vvvvv
(07.05.2004 18:18,
пустое
)
Коллеги, ну дайте алгоритм или какую-нибудь научную работу по АДИКМу или хотя-бы рекомендацию G.726 на русском языке
—
Умник
(03.05.2004 17:10,
пустое
)
Может есть у кого на FPGA Advantech 6.2 лицензия, если не трудно, помогите
—
vvvvv
(01.05.2004 00:12,
пустое
)
см почту
—
LeonY
(02.05.2004 01:55,
пустое
)
А для меня копии лицензии не найдется???
—
kasatik
(17.05.2004 22:59, 19 байт)
А для 6.1 случайно не найдется?
—
maphin
(11.05.2004 11:24,
пустое
)
LeonY слов нет как я Вам благодарен. Пиво на монитор само собой!!!
—
vvvvv
(03.05.2004 19:33,
пустое
)
Нужен совет!!!
—
Fedor
(29.04.2004 21:10, 99 байт)
(ISE 6.1 or ISE 6.2) and (ModelSim 5.7 or ModelSim 5.8). Бесплатные и кастрированые версии этих САПРов можно скачать с сайта xilinx. Полные есть у меня. Я в Москве.
—
druzhin
(30.04.2004 11:08,
пустое
)
А можно эти полные версии у вас попросить?
—
Сашка
(21.05.2004 10:44,
пустое
)
Моделирование ВС на VHDL (вопрос новичка:)
—
guard
(29.04.2004 15:17, 308 байт)
У Ментора и у Хилинха - Моделсим. А СистемСи рассматривали?
—
zlyh
(29.04.2004 17:32,
пустое
)
Спасибо. А есть особо ценные ссылки на инфу по СистемСи??
—
guard
(29.04.2004 18:25,
пустое
)
Там найдете всё про него (+)
—
SM
(29.04.2004 18:30, 56 байт)
а почему http://www.systemc.org/ не катит?
—
yes
(30.04.2004 13:13,
пустое
)
Так я дал эту ссылку ниже...
—
SM
(30.04.2004 14:43,
пустое
)
Еще раз спасибо :) Но 2х300 Мб это для меня слишком. А это такое, может на жести найду:)?
—
guard
(30.04.2004 11:50,
пустое
)
Это S_ynopsys online documentation - немеренная куча pdf'ов
—
SM
(30.04.2004 12:17,
пустое
)
Вот Вам ссылочка полезная - это как раз из SOLD'а старенького
—
SM
(30.04.2004 12:20,
пустое
,
ссылка
)
ну и естессно там =>
—
SM
(29.04.2004 18:31,
пустое
,
ссылка
)
вопрос о клинах между ISE6 и Aldec6.1
—
ReIm
(29.04.2004 10:12, 634 байт)
а библиотеки не забыли.
—
KA
(29.04.2004 23:05,
пустое
)
Поделитесь Synplify, плиз! (+)
—
Mit_new
(28.04.2004 17:03, 82 байт)
Присоединясь к просьбе
—
Сашка
(21.05.2004 10:46,
пустое
)
Спасибо, вопрос снят! (-)
—
Mit_new
(29.04.2004 13:06,
пустое
)
почему все прогрессивное человечество работАет на Synopsis Mentor а не на ISE6?
—
Andersen
(28.04.2004 16:08,
пустое
)
А потому, как это совсем разные и не сравнимые друг с другом программные продукты.
—
SM
(28.04.2004 22:41,
пустое
)
Просветите плиз, для чего же они (Synopsys Mentor) вообще нужны, что в них делают?
—
Andersen
(29.04.2004 10:05,
пустое
)
Ответ (+)
—
SM
(29.04.2004 11:52, 687 байт)
вопрос то SM(+)
—
Andersen
(29.04.2004 12:14, 459 байт)
Ответ: (+)
—
SM
(29.04.2004 12:45, 415 байт)
физику забыл, хотя бы школьный курс. Когда сидишь в б.... фирме, и годовой оборот приборов десятки тысяч. Надо думать о многом. И ждешь когда тебя уволят.
—
KA
(29.04.2004 23:24,
пустое
)
Не надо ждать пока уволят. И не надо физику забывать. Надо просто работать.
—
SM
(30.04.2004 00:51,
пустое
)
Это так к слову пришлось. У нас вчера двух программистов уволили без указания причин.
—
KA
(30.04.2004 08:41,
пустое
)
На самом деле SM лукавит, правильный ответ смотри внутри(+)
—
пересмешник
(29.04.2004 17:41, 2792 байт)
"Так отож". Одна из любимых приговорок моей мамы
—
ReAl
(18.05.2004 20:30, 311 байт)
"...а как стать таким крутым как ты? Жрать анаболики?... Или можно народными средствами?... - Физукльтурка по утрам, отжимания, приседания..." (С) LOTR2 ;О)
—
=mse=
(08.05.2004 13:17,
пустое
)
"размягчить свои мозги и сделать их универсально гибкими" - я предполагал что в жизни действительно все немного по другому :-)))
—
Andersen
(30.04.2004 09:17,
пустое
)
Я не лукавлю :))) Просто опусы писать лень.
—
SM
(29.04.2004 18:22,
пустое
)
:-)
—
Andersen
(29.04.2004 13:19,
пустое
)
Ответ:
—
zlyh
(29.04.2004 11:15, 1194 байт)
понравилось - "чем старее САПР тем в нём меньше дырок"
—
yes
(29.04.2004 15:10, 305 байт)
А. Тогда ещё так:"Чем жирнее контора (Ментор) тем она ленивее."
—
zlyh
(29.04.2004 17:34,
пустое
)
А сравнивался одинаково свежий амбит с синплифаем?
—
SM
(29.04.2004 17:31,
пустое
)
да, но давно (по-моему 2 года назад)
—
yes
(29.04.2004 18:01,
пустое
)
Есть кстати огромное подозрение в том, что (+)
—
SM
(29.04.2004 18:26, 444 байт)
сейчас чего-то лень спорить, но и с одинаковыми библиотеками есть подозрение, что не
—
yes
(30.04.2004 14:39, 207 байт)
Дык в том-то и засада (+)
—
SM
(04.05.2004 18:36, 310 байт)
thenx for being so kind ;-
—
Andersen
(29.04.2004 12:08,
пустое
)
Прочитай мое послание, все больше пользы
—
пересмешник
(29.04.2004 17:43,
пустое
)
Кто имеет научные труды по сжатию АДИКМ (ADPCM). Прошу отозваться!
—
Умник
(28.04.2004 15:53,
пустое
)
Цмник, ты себе польстил, ну разве можно 3 конфы подряд давить народ своим АДИКМ.
—
пересмешник
(29.04.2004 17:44,
пустое
)
Вопрос по FPGA Advantage и библиотекам к нему
—
M_Pete
(26.04.2004 20:07, 271 байт)
Люди, ну дайте алгоритм или какую-нибудь научную работу по АДИКМу или хотя-бы рекомендацию G.726 на русском языке
—
Умник
(26.04.2004 13:58,
пустое
)
Я в прошлом году делал АДИКМ на верилоге по Г.721. Сделал на половину кодер и всё бросил - начальство сказало поставим готовые кодеки.
—
druzhin
(26.04.2004 17:33,
пустое
)
ну сосканерите плиз стандартик, ато помираю..
—
Умник
(26.04.2004 18:50,
пустое
)
Имею проблему.
—
st256
(26.04.2004 12:37, 377 байт)
это что за ксалинс? тип корпуса что-ли, а внутри что? а по поводу дизайн флоу
—
yes
(26.04.2004 14:44, 362 байт)
Вот спасибо!
—
st256
(26.04.2004 15:24, 172 байт)
подскажите чайнику как в VHDL организовать массив с константами и потом от туда брать данные наподобии Data <= ROM[i] ???
—
Bug
(26.04.2004 12:12,
пустое
)
так иногда делают
—
lutik
(26.04.2004 12:40, 1473 байт)
Элементарно, Ватсон :-)
—
andrew_b
(26.04.2004 12:19, 101 байт)
так не хочет..........., зато вот так .......... пошло
—
Bug
(26.04.2004 12:57, 268 байт)
Ну да, правильно, mea culpa.
—
andrew_b
(26.04.2004 13:20,
пустое
)
а как мне подсунуть правильно переменную счётчика cnt в ROM(cnt), если она у меня объявлена как signal cnt : std_logic_vector(3 downto 0); ???
—
Bug
(26.04.2004 15:30,
пустое
)
вопрос снят, нашёл CONV_INTEGER(cnt)
—
Bug
(26.04.2004 15:57,
пустое
)
а как их в HEX виде забить, а не в бинарном ??? что вписать 0x01
—
Bug
(26.04.2004 12:21,
пустое
)
x"222" это "001000100010". Для hex разрядность должна быть кратна 4.
—
andrew_b
(26.04.2004 12:28,
пустое
)
Народ, не подскажет кто ПО для работы с VHDL- AMS, а заодно где скачать и взять лекарство. Заранее благодарен
—
matel
(24.04.2004 01:42,
пустое
)
Посмотрите SystemVision от Mentor
—
Кн
(26.04.2004 11:47, 26 байт)
Ответ:
—
Alex_Black
(27.05.2004 15:52, 23 байт)
А чего-то не ломается, я более поздним пробовал- не берет ? Кто в курсе - подскажите
—
vvvvv
(07.05.2004 18:27,
пустое
)
Можно и мне ссылочку , Кн ? Please ...
—
vvvvv
(04.05.2004 20:02,
пустое
)
В почте
—
Кн
(05.05.2004 10:32,
пустое
)
Большое спасибо !
—
vvvvv
(05.05.2004 14:15,
пустое
)
Можно и мне ссылочку , Кн ? Please ...
—
vvvvv
(04.05.2004 20:02,
пустое
)
Можно и мне ссылку, пожалуйста?
—
decoder_
(29.04.2004 10:37,
пустое
)
Смотрите почту
—
YuryL
(29.04.2004 15:27,
пустое
)
Большое спасибо
—
decoder_
(29.04.2004 19:36,
пустое
)
Можно мне ссылочку, pls
—
YuryL
(28.04.2004 17:23,
пустое
)
Ответил в мыло
—
svensson
(28.04.2004 19:46,
пустое
)
Спасибо!
—
YuryL
(28.04.2004 20:57,
пустое
)
А мне тожно можно ссылку на мыло?
—
svensson
(28.04.2004 00:37,
пустое
)
Можно.
—
Кн
(28.04.2004 15:54,
пустое
)
Спасибо!
—
svensson
(28.04.2004 19:43,
пустое
)
Подходит!!! Жду ссылки
—
matel
(27.04.2004 00:58,
пустое
)
Посмотрите мыло.
—
Кн
(27.04.2004 09:57,
пустое
)
Заполнить форму для отправки сообщения
|||
Телеконференции
|||
Главная страница
|||
Конференция без кадра
|||
Архив без кадра