[an error occurred while processing this directive] [an error occurred while processing this directive]
Либо вставить их компонент, либо описать в соответствии с их требованиями (+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.)»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Oleg_Z 29 августа 2001 г. 16:47
В ответ на: Господа!!! А вот такой вопрос (+) отправлено Kota 29 августа 2001 г. 15:50

Лучше всего конечно прочитать в helpe к синтезатору.

Руками:

module ROM_256x16 (
CLK,
RE,
ADR,
DO
);

input CLK;
input RE;
input [7:0] ADR;
output [15:0] DO;
//////////////////////////////////////////////////////////////////////

RAMB4_S16 U0 (.WE(1'b0), .EN(RE), .RST(1'b0), .CLK(CLK), .ADDR(ADR[7:0]), .DI(), .DO(DO));

//exemplar attribute U0 INIT_00 123456789AFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF
...

//exemplar attribute U0 INIT_0F 0100
// Неполные строки дополняются нулями.
endmodule

Описать можно:

module RAM (din, wen, wraddr, clk, dout);
input [7:0] din;
input wen, clk;
input [5:0] wraddr;
output [7:0] dout;
reg [7:0] mem [63:0];
reg [5:0] addr_int;
assign dout = mem [addr_int];
always @(posedge clk)
addr_int <= wraddr;
always @(posedge clk)
if (wen) mem [wraddr] <= din;
endmodule

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru