[an error occurred while processing this directive] [an error occurred while processing this directive]
Скорее всего ему что-то не нравится. Попробуй посмотреть лог. В принципе на OrCADe можно симулировать, но с диагностикой ошибок у него очень плохо. А может библиотеку какую-нибудь не подключил?
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.)»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Oleg_Z 02 августа 2001 г. 17:58
В ответ на: Господа!!! Решил попробовать Orcad, приминительно к VHDL (+) отправлено Kota 02 августа 2001 г. 14:56


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru