[an error occurred while processing this directive]
Ворнинги при объявлении компонентов на vhdl
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено vzhik 11 мая 2005 г. 09:35

Использую ИСЕ6.2, синтезатор XST.
Объявляю компонент из библиотеки юнисим, а синтезатор выдает ворнинг:
WARNING:Xst:766 - D:/projects/ISE/ip_cntr_v2.0/ip_cntr_v2.0/top.vhd line 102: Generating a Black Box for component .

Что это означает?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru