[an error occurred while processing this directive]
Я помню.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено V61 11 мая 2005 г. 12:11
В ответ на: Однако LPM генерирует именно схему (+) отправлено SM 11 мая 2005 г. 11:58

Там он по ходу еще определяет "величину" делителя.
Но я не очень хорошо знаю, что такое LPM (насколько поведенческое описание соответствует реальному модулю).

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru