[an error occurred while processing this directive] [an error occurred while processing this directive]
Проект на VHDL при компиляции Leonardo Spectrum ложиться на EPM3064-ATC 100, а при компиляции в MAX сообщает что не влазит(+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Vladimir 13 августа 2001 г. 15:03

Пробовал менять параметры оптимизации, не получается.

Подскажите, что подстроить.
Или как получить файл SCF из файлов Leonardo Spectrum для программирования встроенным в MAX программатором через JTAG.

Кроме этого eсть ли в Leonardo Spectrum возможность предварительно раздать входные/выходные сигналы как в MAX (assign pin)?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru