[an error occurred while processing this directive] [an error occurred while processing this directive]
(+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Victor® 13 августа 2001 г. 15:39
В ответ на: Проект на VHDL при компиляции Leonardo Spectrum ложиться на EPM3064-ATC 100, а при компиляции в MAX сообщает что не влазит(+) отправлено Vladimir 13 августа 2001 г. 15:03

1) Насчет параметров оптимизации без проекта сказать ничего не могу (попробуй делать оптимизацию по размеру, а не быстродействию).
Попробуй сделать синтез в MAX+PLUS II, сравни результаты.

2) SCF - Simulation Channel File (файл моделирования, а не программирования). Файл прошивки POF получается ТОЛЬКО после
размещения проекта в кристалле, которую делает ТОЛЬКО MAX+PLUS II
(зачем же он тогда нужен ?:-)

3) Такая возможность есть и в самом VHDL и в Constraint Editor`ах систем синтеза

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru