[an error occurred while processing this directive]
Регистры ALTERA (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено cx 20 января 2006 г. 14:10

Вопросы:
Описываю регистр с асинхронной установкой

module my_reg(
input clk,
input st,
input din,
output reg dout);

always @(posedge clk, posedge st) begin
if(st) dout <= 1'b1;
else dout <= din;
end
endmodule

Синтезатор ставит инвертор на входе и выходе регистра и использует его асинхронный сброс. Все вроде нормально но после включения выход получается в 1. Как сделать в 0?

Если пишу

always @(posedge clk, posedge st, posedge rst) begin
if(rst) dout <= 1'b0;
else if(st) dout <= 1'b1;
else dout <= din;
end

то синтезатор порождает монстроидальную конструкцию из 4-ех LUT-ов и регистра и пишет предупреждение

Warning: Node "dout~6" is a latch. Что это?

Спасает только синхронный сброс. Получается что нужно приводить внутреннее состояние регистров ALTERA после прогрузки дополнительным внешним сигналом сброса и прицеплять его ко всем необходимым регистрам?

Почему запись output reg dout = 1'b0 игнорируется синтезатором причем молча)?


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru