[an error occurred while processing this directive]
Ответ: (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено _aquarius_ 20 ноября 2003 г. 16:52
В ответ на: А вот и нет!(+) отправлено Victor Yurchenko 20 ноября 2003 г. 15:52

На Verilog не пишу. С VHDL таких проблем не имел - разрядность счетчиков люблю задавать в параметрах. При перемене стиля таких приколов никогда не встречал. А вообще "Максимка" все HDL, кроме AlteraHDL понимает очень коряво и синтезирует плохо (по скорости), посему пользуюсь Synplify.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru