[an error occurred while processing this directive]
Не получается в ModelSim просимулировать модуль на Verilog (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Tiro 03 ноября 2003 г. 21:43

Написал модуль на Verilog, откомпилировал Synplify для Xilinx Spartan2. Исходник нормально симулируется в ModelSim. Хочу просимулировать результат компиляции, ставлю в Synplify опцию Writing Mapped Verilog (и VHDL) Netlist. Пишутся. Создаю проект в ModelSim, подключаю отмапленный модуль на Verilog. ModelSim нормально создает библиотеку, запускает симуляцию. Модуль не работает. VHDL аналогично (пришлось дополнительно создавать библиотеки) не работает. Вообще никак. Куда смотреть, что крутить?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru