[an error occurred while processing this directive]
Вопрос про Synplify
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено dsmv 18 июля 2003 г. 12:44

Есть такой компонет, который получен кодогенератором Xilinx

component ctrl_buf32 is
port (
oe: in std_logic;
i: in std_logic_vector(31 downto 0);
o: out std_logic_vector(31 downto 0));
end component;
Это буфер для внутренней шины с 3-им состоянием

Есть такой фрагмент кода:
bufa: ctrl_buf32 port map(
oe => sel,
i => a,
o => d );

bufb: ctrl_buf32 port map(
oe => seln,
i => b,
o => d );

При синтезе в Synplify Pro 7.2 получаем тактое сообщение для каждого из разрядов

Net work.test(sim)-d[0] has multiple drivers
output pin:o[0] inst:bufb of work.ctrl_buf32(syn_black_box)
output pin:o[0] inst:bufa of work.ctrl_buf32(syn_black_box)
input pin:D[0] inst:c[31:0] of PrimLib.dff(prim)

Вопрос как это победить? В ISE подобные конструкции проходят.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru