[an error occurred while processing this directive]
Ответ: Зачем такие сложности?
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено V61 18 июля 2003 г. 13:55
В ответ на: Вопрос про Synplify отправлено dsmv 18 июля 2003 г. 12:44

o <= a when (sel = '1') else (others => 'Z');
o <= b when (seln = '1') else (others => 'Z');
Возможно некоторые синтезаторы не примут (others => 'Z'),тогда напишите "Z ... Z".
А Synplify просто определил, что из двух блоков (ему не известных) сигналы идут в одну точку.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru