[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено KA 18 июля 2003 г. 13:12
В ответ на: Вопрос про Synplify отправлено dsmv 18 июля 2003 г. 12:44

component LD is
port (
G : in STD_LOGIC;
D : in STD_LOGIC;
Q : out STD_LOGIC
);
end component;
attribute syn_black_box of LD: component is true;

это чтобы Synplify не ругался.

Не известно, для какого чипа вы делаете. Не все серий работают внутри с TRI шиной.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru