[an error occurred while processing this directive]
Вы в этом уверены?
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено A_S_N 22 января 2005 г. 22:45
В ответ на: Ни первое ни второе не синтезируемо(+) отправлено cdg 22 января 2005 г. 15:01

Уважаемый Stewart Little указал во что это выливается ...
Вот результаты синтеза (Sinplyfy Pro 7.6):


Вариант первый (VHDL):
Чип – EP1C3;
Занимаемые ресурсы: Total LUTs: 10 of 2910;
Number of Nets: 32;
Частота - 104.9 MHz;
Вариант второй (Verilog):
Чип – EP1C3;
Занимаемые ресурсы: Total LUTs: 10 of 2910;
Number of Nets: 32;
Частота - 104.9 MHz;

Если есть желание, могу привести результаты синтеза и для других архитектур и синтезаторов…
Как правило, я всегда проверяю результаты перед публикацией.
Указанный код синтезируется также Leonardo… При желании можно проверить в понедельник и FPGA Express – скорее всего тоже синтезируется…
З.Ы. В исходном вопросе ни слова не было сказано ни о целевом семействе, ни о языке реализации, ни о синтезируемом подмножестве.
Речь шла о логической функции – функция приведена в наиболее общем настраиваемом виде…
Совет – меняйте синтезатор.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru