[an error occurred while processing this directive]
Подскажите по Webpack и Xilinx.
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Саша100 02 апреля 2004 г. 11:32

Мужики подскажите, а как вставлять в проект готовые библиотечные компоненты. Попытался вставить счетчик ( вроде если правильно списал CB2CE), описал его как компонент все как положено, но при Fittinge
мне компилятор говорит, что он "генерит" черный ящик.
А как правильно вставлять готовые компоненты? Или их нужно описывать использую "поведенчекие" шаблоны.
Я попробовал для проверки написать простенький код вствляя AND2.
Такого плана:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;

entity count2 is
port ( A, B : in std_logic;
C : out std_logic );

end count2;

architecture BEHAVIORAL of count2 is
component AND2
port ( I0 : in std_logic;
I1 : in std_logic;
O : out std_logic);
end component;

begin
G0:
AND2 port map( I0 => A, I1 => B,
O => C);
end Behavioral;


И все равно компилятор пишет : " Generating a Black Box for component .
Мужики подскажите пожайлуста в чем дело! Может какую-нибудь библиотеку или пакет в начале не объявил.
Спасибо

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru