[an error occurred while processing this directive]
Ответ: Я решил так "извратиться", потому что...Подскажите
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Саша100 02 апреля 2004 г. 12:11
В ответ на: Подскажите по Webpack и Xilinx. отправлено Саша100 02 апреля 2004 г. 11:32

Писал автомат типа Мили. Внутри процесса были две переменные которые инкрементирвались при некоторых состояниях. Промоделировал до размещения в ПЛИС. Все ОК.
Но когда все запихнул в кристалл( запихнул все ОК), и промоделировал. Результаты не устроилии.Сигналы на выходе не те. А как уже просмотреть пременные при временном моделировании. По-иоему никак.
Вот и решил автомат разбить на сам автомат и отдельно счетчик, который будет "считать" то, что раньше было в виде переменных в автомате. А как разместить готовый библиотечный счетчик, чтобы компилятор не воспринимал его как черный ящик ума не приложу.
Подскажите?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru