[an error occurred while processing this directive]
описание компонента должно должно находится в рабочей библиотеке проекта (work) или в заголовке модуля должна быть явно указана библиотека в которой находится описание. у вас этого ничего нет вот синтезатор и генерит блек бокс. То же относится и к счетчикам и вообще к любым компонентам
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено lutik 02 апреля 2004 г. 14:06
В ответ на: Подскажите по Webpack и Xilinx. отправлено Саша100 02 апреля 2004 г. 11:32


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru