[an error occurred while processing this directive]
Так вообще не проходит. Ругается на UNSIGNED. Библиотеку std_logic_arith подключал.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено urri 14 ноября 2003 г. 13:57
В ответ на: Попробуй :constant adr_control : integer := conv_integer(UNSIGNED("1111111111")); отправлено DmitriP 14 ноября 2003 г. 13:47


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru