[an error occurred while processing this directive]
Если позволите, то 2 (два) вопроса
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Навзничь 14 июля 2003 г. 12:14
В ответ на: ActiveHDL v5.2 + SynplifyPro v7.2 + Quartus II v2.2 SP1. Можно Максплюс v10.1, компилит ГОРАЗДО быстрее, но результаты (Fmax) хуже и проблемы с импортом constrains из EDIF. отправлено Пытливый 14 июля 2003 г. 11:46

1. Используете ли Вы конструкции препроцессора, на которые я сетовал в исходном посте?
2. Как у Sinplify с совместимостью по текстам с Синопсисом? Или как Вы объявляете память в EAB, так чтобы ее можно было и синтезировать, и моделировать?


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru