[an error occurred while processing this directive]
Ответ: ActiveHDL + SynplifyPro + Максплюс
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Apast 14 июля 2003 г. 12:33
В ответ на: Если позволите, то 2 (два) вопроса отправлено Навзничь 14 июля 2003 г. 12:14

По поводу предпроцессора сказать не могу, не пользовался.
По совместимости с Синопсис тоже не знаю.
А вот по поводу синтеза и моделирования специфических функций типа память и т.д. Sinplify все LPM_ понимает как "черные ящики" и их не синтезирует, а оставляет на совесть Максплюса так что с этим проблем нет. Что касается моделирования то в ActiveHDL есть библиотека Алтеровских LPM_ и он моделирует эти блоки нормально.
Сам работаю с ActiveHDL6.1 + SynplifyPro7.3 + MAX+PLUSII 10.2

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru