[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: «Конференция «Программируемые логические схемы и их применение»»)

миниатюрный аудио-видеорекордер mAVR

Отправлено dsmv 16 апреля 2003 г. 09:56
В ответ на: Подскажите плиз, как мне тут лучше реализовать проект на Альтере... отправлено Garisoft 16 апреля 2003 г. 01:24

По моему лучше использовать VHDL (или Verilog) с использованием
готовых LPM модулей для умножителей и сумматоров. Разработку проводить
во внешнем САПРе, например Aldec ActiveHDL.

Составить ответ ||| Конференция ||| Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов ||| Конференция ||| Архив ||| Главная страница ||| Содержание

E-mail: info@telesys.ru