[an error occurred while processing this directive] [an error occurred while processing this directive]
Трабл с CLKDLL в Virtex E
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено -=Sergei=- 23 мая 2002 г. 17:06

После имплементации получаю VHDL модель, в ней используется
U9 : X_CLKDLL2
generic map (
CLKDV_DIVIDE => 2.0,
DUTY_CYCLE_CORRECTION => TRUE,
MAXPERCLKIN => 40000 ps
)
port map (
RST => U9_LOGIC_ZERO,
CLKFB => APP_CLK,
CLKIN => NET24771,
NOADJUST => GND_1,
CLK0 => NET23461,
CLK180 => U9_CLK180,
CLK270 => U9_CLK270,
CLK2X => U9_CLK2X,
CLK90 => U9_CLK90,
CLKDV => U9_CLKDV,
LOCKED => U9_LOCKED
CLK2X180 => U9_CLK2X180,
CLKLOST => NGD2VHDL_X_5669_12,
NOTINPHASE => NGD2VHDL_X_5669_13
);
В библиотеке SIMPRIM такого нет, есть только X_CLKDLL (без двойки в имени и без некоторых выводов). Соответсвенно модель не компелируется. Кто нить сталкивался? СLKDLL я вставлял в схему через схематик, может надо через HDL, тогда во время синтеза зацепится правильный компанент?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru