[an error occurred while processing this directive]
должно быть 8*126=1008 slice - видимо с упаковкой что-то плохо
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено yes 11 февраля 2004 г. 18:52
В ответ на: Без кода - это мало о чем не говорит. отправлено V61 11 февраля 2004 г. 18:32

структура вобщем-то дубовая - как не описывай синтезатор одно и то же должен выдать...


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru