[an error occurred while processing this directive]
Добрый день господа! вопрос к знатокам верилога(+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено des00 09 января 2004 г. 12:37

есть ли в нем возможность простого доступа к портам модуля, как например в AHDL:
name.port, или output = name.port;
или все приходиться делать через внешние переменные
wire temp;
module_name name(..., temp, .....);
а то например простое описание D тригера теряет свою наглядность (по сравнению с AHDL).
Просто прочитал все что у меня есть по верилогу и такой случай там нигде не описан. Заранее спасибо

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru