[an error occurred while processing this directive]
Ответ: if clk'event and clk = '1' then ... достаточно
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено asoneofus 05 сентября 2002 г. 14:48
В ответ на: Это точно. Синтаксис VHDL явно сочинял графоман-клавадавец. Чего стоит один триггер по фронту: IF (clk'event AND clk'last_value='0' AND clk="1") THEN ...А потом это же еще читать. отправлено Щедрый 30 августа 2002 г. 19:35


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru