[an error occurred while processing this directive]
Хитрее
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))
миниатюрный аудио-видеорекордер mAVR

Отправлено -=Sergei=- 10 июля 2002 г. 12:21
В ответ на: Ответ: ну дык отправлено Baton 10 июля 2002 г. 06:02

Он еще и по фронту/срезу работает
вот так тогда

process(clk,setup)
begin
if (clk'event ) then
if (clk ='0' and setup ='1') then
msec1<="0000";--
elseif (clk='1' and setup ='0') then
msec1<="0001";--
end if;
end if;
end process;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru