[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ: Я бы обязательно добавил Reset...
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Pashka 27 мая 2002 г. 10:02
В ответ на: Thanks ,написал ,но в железе работает не корректно >> отправлено Борода 25 мая 2002 г. 21:06

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity div16 is
port (
CLK : in std_logic;
RESET : in std_logic;

CLK_d16 : out std_logic
);
end div16;

architecture behav of div16 is
signal COUNT_VALUE : std_logic_vector(3 downto 0);

begin


process (CLK, RESET)
begin
if RESET = '1' then
COUNT_VALUE <= "0000";
elsif rising_edge(CLK) then
COUNT_VALUE <= COUNT_VALUE +1;
end if;
end process;


CLK_d16 <= COUNT_VALUE(3);


end behav;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru