[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ: Чайнецкий вопрос :)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Vladimir_vss 15 мая 2002 г. 19:32
В ответ на: Чайнецкий вопрос :) отправлено Мартовский Котяра 15 мая 2002 г. 05:42

Вопрос конечно интересный :) Существует академический и инженерный или дизайнерский подход к VHDL, как я понимаю. Академический подход определяется целью как написать ПРАВИЛЬНУЮ ну и конечно работающую, желательно везде, конструкцию. Дизайнеру важно получить результат с конкретным инструментом на конкретной платформе. Конечно существует золотая середина или середины (определяется индивидуально).
Так вот, о чем это я? Вопрос с "event" не очень понятен, потому что нет конкретного примера ( текста ). Но, как всем известно, существуют стандарты языка от 198? и 1993 годов. Так что все компиляторы естественно должны следовать этим стандартам. Все корректные языковые конструкции должны генерировать Sensitivity list (синтезатором с языка). И я так понимаю, что этот Sensitivity list каждый Vender, своим софтом имплементирует в свой конкретный чип. Что далее. Так как Performance таких штучек, как память, PLL, DLL, Clock Manegers, встроенных умножителей, арифметических блоков и т.д. сильно зависят от платформы, то приходится использовать как минимум примитивы из библиотек конкретной платформы. А в этом случае мало что остается от универсальности VHDL. Немного лучше ситуация с ASIC, но там тоже есть примитивы от платформ. Короче, моя точка зрения такая: если не работает, то нужно подделать все так, чтобы работало, а с проблемами языка пусть разбираются преподаватели, профессора, эксперты. С проблемами конкретных платформ пусть разбираются Application Engineers. Кстати, а где все эти граждани, почему их нет в конференции на русском?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru