Разработка, производство и продажа радиоэлектронной аппаратуры
|
Требуется программист в Зеленограде - обработка данных с датчиков; ColdFire; 40 тыс.
e-mail: jobsmp@pochta.ru
|
Через package
Отправлено
V61 03 июня 2009, г. 17:49
В ответ на:
Двойная индексация отправлено пользователем
Voiceц 03 июня 2009, г. 09:43
Оформляете тип
library IEEE;
use IEEE.STD_LOGIC_1164.all;
package V_TYPE is
type k16x56 is array(0 to 15) of std_logic_vector(55 downto 0);
type k16x48 is array(0 to 15) of std_logic_vector(47 downto 0);
end V_TYPE;
Затем применяете
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use V_type.all;
entity kluch8 is
port(
clk : in STD_LOGIC;
rst : in STD_LOGIC;
D_IN : in STD_LOGIC_VECTOR(63 downto 0);
KL7,KL6,KL5,KL4,KL3,KL2,KL1,KL0 : out k16x48
);
end kluch8;
Составить ответ | Вернуться на конференцию.
Ответы