Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Языки описания аппаратуры (VHDL и др.)

VHDL оператор CASE помогите разобраться.

Отправлено kumanika 14 апреля 2009, г. 13:41


Привет всем вообщем есть две шины двунаправленные и пара выводов для выбора режима работы.
описаны в коде так :

mode : in STD_LOGIC_VECTOR (1 downto 0);
bus0 : inout STD_LOGIC_VECTOR (9 downto 0);
bus1 : inout STD_LOGIC_VECTOR (9 downto 0)


мне необходимо что бы при значении mode "00" на них приходили данные
из константы, а при значении "01", на bus0 были данные установленные на bus1, ну и "10" на bus1 появились данные установленные на bus0.

Я попробовал это сделать используя оператор case вот так:
И вообщем ничего не работает. Кто нибудь может сказать где я ошибся?


process (mode)
begin
case mode is
when "00" => bus0 <= STD_LOGIC_VECTOR (my_cnt);bus1 <= STD_LOGIC_VECTOR (my_cnt);

when "01" => bus0 <= bus1;

when "10" => bus1 <= bus0;

when others => bus1 <= STD_LOGIC_VECTOR (zero_cnt);bus1 <= STD_LOGIC_VECTOR (zero_cnt);
end case;




Составить ответ | Вернуться на конференцию.

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
увеличьте 6 на 2:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru