Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Языки описания аппаратуры (VHDL и др.)

Квартус после Анализа и Синтеза выдает следующее сообщение (+)

Отправлено dlsh 06 июня 2008 г. 09:38


Квартус после Анализа и Синтеза выдает следующее сообщение
Warning (10631): VHDL Process Statement warning at mng_pch.vhd(264): inferring latch(es) for signal or variable "fWrPLL", which holds its previous value in one or more paths through the process

Посмотрел через RTL что является источником для "fWrPLL" там стоит регистр. А что непонравилось Квартусу ?


Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
введите число 56:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru