Добрый день. XilinxISE & VHDL: пытаюсь присоединить библиотеку float_pkg_c.vhd расположенную в vhdl\src\ieee_proposed. В самой библиотеке сказано, что: -- This package should be compiled into "ieee_proposed" and used as follows: -- use ieee.std_logic_1164.all; -- use ieee.numeric_std.all; -- use ieee_proposed.float_pkg.all;
Пишу в VHDL-файле следующее: library IEEE; library ieee_proposed; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee_proposed.float_pkg.all;
И получаю при проверке синтаксиса ошибки: Library ieee_proposed cannot be found. Library ieee_proposed is not declared.
Может быть я что-то еще должен сделать, чтобы нормально присоединить библиотеку к своему проекту? Пытался создавать библиотеку с именем ieee_proposed и добавлял в нее файл float_pkg_c.vhd. Все равно при проверке были ошибки...