Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Языки описания аппаратуры (VHDL и др.)

XilinxISE & VHDL: Не получается присоединить пакет float_pkg_c.vhd к своему проекту

Отправлено Kolobok 21 февраля 2008 г. 12:59


Добрый день.
XilinxISE & VHDL: пытаюсь присоединить библиотеку float_pkg_c.vhd расположенную в vhdl\src\ieee_proposed. В самой библиотеке сказано, что:
-- This package should be compiled into "ieee_proposed" and used as follows:
-- use ieee.std_logic_1164.all;
-- use ieee.numeric_std.all;
-- use ieee_proposed.float_pkg.all;

Пишу в VHDL-файле следующее:
library IEEE;
library ieee_proposed;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee_proposed.float_pkg.all;

И получаю при проверке синтаксиса ошибки:
Library ieee_proposed cannot be found.
Library ieee_proposed is not declared.

Может быть я что-то еще должен сделать, чтобы нормально присоединить библиотеку к своему проекту?
Пытался создавать библиотеку с именем ieee_proposed и добавлял в нее файл float_pkg_c.vhd. Все равно при проверке были ошибки...


Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
прибавьте тройку к двум:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru