Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Языки описания аппаратуры (VHDL и др.)

у меня это работает - вроде как генерить не нужно, т.к. HOSTID=ANY

Отправлено yes 25 июля 2007 г. 18:35
В ответ на: Хорошо бы. У меня и для 5.6 куда-то сплыло. отправлено SM 25 июля 2007 г. 18:09

SERVER name eth 5280
DAEMON cdslmd cdslmd
FEATURE 100 cdslmd 1000.0000 permanent uncounted 565094150FE7469F \
HOSTID=ANY
FEATURE 111 cdslmd 1000.0000 permanent uncounted 594D921110E9459B \
HOSTID=ANY
FEATURE 11400 cdslmd 1000.0000 permanent uncounted E2C1750BC7067000 \
HOSTID=ANY
FEATURE 12141 cdslmd 1000.0000 permanent uncounted E0BD770CC6007406 \
HOSTID=ANY
FEATURE 12500 cdslmd 1000.0000 permanent uncounted E3B87307C6007306 \
HOSTID=ANY
FEATURE 14000 cdslmd 1000.0000 permanent uncounted DE1E76F43C03750A \
HOSTID=ANY
FEATURE 14010 cdslmd 1000.0000 permanent uncounted DE1D76F43C02750A \
HOSTID=ANY
FEATURE 14020 cdslmd 1000.0000 permanent uncounted DEA876F4C801750A \
HOSTID=ANY
FEATURE 14040 cdslmd 1000.0000 permanent uncounted DEAA76F4CCFF750A \
HOSTID=ANY
FEATURE 14101 cdslmd 1000.0000 permanent uncounted E037750E3C02760A \
HOSTID=ANY
FEATURE 14111 cdslmd 1000.0000 permanent uncounted E038750E3C03760A \
HOSTID=ANY
FEATURE 14120 cdslmd 1000.0000 permanent uncounted DFC0750DC800750A \
HOSTID=ANY
FEATURE 14130 cdslmd 1000.0000 permanent uncounted DFC1750DC801750A \
HOSTID=ANY
FEATURE 14140 cdslmd 1000.0000 permanent uncounted DFC2750DCCFE750A \
HOSTID=ANY
FEATURE 14410 cdslmd 1000.0000 permanent uncounted E2C57210CCFE750A \
HOSTID=ANY
FEATURE 200 cdslmd 1000.0000 permanent uncounted 545195160FEA459C \
HOSTID=ANY
FEATURE 20120 cdslmd 1000.0000 permanent uncounted DFBD7A0AC4057201 \
HOSTID=ANY
FEATURE 20121 cdslmd 1000.0000 permanent uncounted DEBC7A09C4057101 \
HOSTID=ANY
FEATURE 20122 cdslmd 1000.0000 permanent uncounted E1BF7A0CC4057401 \
HOSTID=ANY
FEATURE 20123 cdslmd 1000.0000 permanent uncounted E0BE7A0BC4057301 \
HOSTID=ANY
FEATURE 20124 cdslmd 1000.0000 permanent uncounted DBA17AEEC4056E01 \
HOSTID=ANY
FEATURE 20127 cdslmd 1000.0000 permanent uncounted DCA27AEFC4056F01 \
HOSTID=ANY
FEATURE 20128 cdslmd 1000.0000 permanent uncounted D7A57AF2C4056A01 \
HOSTID=ANY
FEATURE 20220 cdslmd 1000.0000 permanent uncounted E0C5790BC8087201 \
HOSTID=ANY
FEATURE 20221 cdslmd 1000.0000 permanent uncounted DFC4790AC8087101 \
HOSTID=ANY
FEATURE 20222 cdslmd 1000.0000 permanent uncounted E2BF7905C8087401 \
HOSTID=ANY
FEATURE 20227 cdslmd 1000.0000 permanent uncounted DDAA79F0C8086F01 \
HOSTID=ANY
FEATURE 206 cdslmd 1000.0000 permanent uncounted 5A4D8F1017E4459C \
HOSTID=ANY
FEATURE 207 cdslmd 1000.0000 permanent uncounted 5D518C0D1FE3459C \
HOSTID=ANY
FEATURE 21060 cdslmd 1000.0000 permanent uncounted DEC87A08D3017103 \
HOSTID=ANY
FEATURE 21200 cdslmd 1000.0000 permanent uncounted E0BE780AC3057103 \
HOSTID=ANY
FEATURE 21400 cdslmd 1000.0000 permanent uncounted E2BA7604C7037103 \
HOSTID=ANY
FEATURE 21900 cdslmd 1000.0000 permanent uncounted C7BE9101D3FE7103 \
HOSTID=ANY
FEATURE 21920 cdslmd 1000.0000 permanent uncounted C7C09101D7FC7103 \
HOSTID=ANY
FEATURE 22650 cdslmd 1000.0000 permanent uncounted E4C07309CA037405 \
HOSTID=ANY
FEATURE 22800 cdslmd 1000.0000 permanent uncounted C6C19103D6FE7405 \
HOSTID=ANY
FEATURE 22810 cdslmd 1000.0000 permanent uncounted C6C09103D6FD7405 \
HOSTID=ANY
FEATURE 24015 cdslmd 1000.0000 permanent uncounted D92177F83C037109 \
HOSTID=ANY
FEATURE 24025 cdslmd 1000.0000 permanent uncounted D9AC77F8C8027109 \
HOSTID=ANY
FEATURE 24100 cdslmd 1000.0000 permanent uncounted DF37760E3C037609 \
HOSTID=ANY
FEATURE 24205 cdslmd 1000.0000 permanent uncounted DBA675F2C8027109 \
HOSTID=ANY
FEATURE 250 cdslmd 1000.0000 permanent uncounted 543E7A11FC35406C \
HOSTID=ANY
FEATURE 251 cdslmd 1000.0000 permanent uncounted 573A770EFC34406C \
HOSTID=ANY
FEATURE 26000 cdslmd 1000.0000 permanent uncounted DE35850F3A0278FD \
HOSTID=ANY
FEATURE 274 cdslmd 1000.0000 permanent uncounted 581C780BE22F3E6C \
HOSTID=ANY
FEATURE 276 cdslmd 1000.0000 permanent uncounted 5A207609EA2D3E6C \
HOSTID=ANY
FEATURE 279 cdslmd 1000.0000 permanent uncounted 5F287104EA3A3E6C \
HOSTID=ANY
FEATURE 283 cdslmd 1000.0000 permanent uncounted 59397819FF3F4D5C \
HOSTID=ANY
FEATURE 300 cdslmd 1000.0000 permanent uncounted 565292170F5D4429 \
HOSTID=ANY
FEATURE 305 cdslmd 1000.0000 permanent uncounted 5D4E8B1017584429 \
HOSTID=ANY
FEATURE 312 cdslmd 1000.0000 permanent uncounted 584F911410E6439D \
HOSTID=ANY
FEATURE 314 cdslmd 1000.0000 permanent uncounted 5A538F1218E4439D \
HOSTID=ANY
FEATURE 316 cdslmd 1000.0000 permanent uncounted 5C4F8D1018E2439D \
HOSTID=ANY
FEATURE 318 cdslmd 1000.0000 permanent uncounted 5E638B0E20F0439D \
HOSTID=ANY
FEATURE 32110 cdslmd 1000.0000 permanent uncounted DFC3790DC6037508 \
HOSTID=ANY
FEATURE 32140 cdslmd 1000.0000 permanent uncounted DFBE790DC6FE7508 \
HOSTID=ANY
FEATURE 32150 cdslmd 1000.0000 permanent uncounted DFBF790DC6FF7508 \
HOSTID=ANY
FEATURE 32190 cdslmd 1000.0000 permanent uncounted DFCB790DD6FB7508 \
HOSTID=ANY
FEATURE 322 cdslmd 1000.0000 permanent uncounted 5854921315594229 \
HOSTID=ANY
FEATURE 32500 cdslmd 1000.0000 permanent uncounted E3BA7509C6FE7508 \
HOSTID=ANY
FEATURE 32501 cdslmd 1000.0000 permanent uncounted E4BB750AC6FE7608 \
HOSTID=ANY
FEATURE 32502 cdslmd 1000.0000 permanent uncounted E1B87507C6FE7308 \
HOSTID=ANY
FEATURE 32510 cdslmd 1000.0000 permanent uncounted E3BB7509C6FF7508 \
HOSTID=ANY
FEATURE 32550 cdslmd 1000.0000 permanent uncounted E3BF7509C6037508 \
HOSTID=ANY
FEATURE 32600 cdslmd 1000.0000 permanent uncounted E4BE740ACAFD7508 \
HOSTID=ANY
FEATURE 32610 cdslmd 1000.0000 permanent uncounted E4BD740ACAFC7508 \
HOSTID=ANY
FEATURE 32620 cdslmd 1000.0000 permanent uncounted E4BC740AC6FF7508 \
HOSTID=ANY
FEATURE 32630 cdslmd 1000.0000 permanent uncounted E4BB740AC6FE7508 \
HOSTID=ANY
FEATURE 32640 cdslmd 1000.0000 permanent uncounted E4C2740ACA017508 \
HOSTID=ANY
FEATURE 32760 cdslmd 1000.0000 permanent uncounted E5B87303C6027508 \
HOSTID=ANY
FEATURE 33010 cdslmd 1000.0000 permanent uncounted DEC4790BC9057406 \
HOSTID=ANY
FEATURE 33301 cdslmd 1000.0000 permanent uncounted E2BA7607C5037506 \
HOSTID=ANY
FEATURE 334 cdslmd 1000.0000 permanent uncounted 5A519110165A4125 \
HOSTID=ANY
FEATURE 336 cdslmd 1000.0000 permanent uncounted 5C558F0E1E584125 \
HOSTID=ANY
FEATURE 34500 cdslmd 1000.0000 permanent uncounted E3C0730BCCFC770C \
HOSTID=ANY
FEATURE 34510 cdslmd 1000.0000 permanent uncounted E3C1730BCCFD770C \
HOSTID=ANY
FEATURE 365 cdslmd 1000.0000 permanent uncounted 5D20710AE9323E69 \
HOSTID=ANY
FEATURE 370 cdslmd 1000.0000 permanent uncounted 563D7910FA3A3D65 \
HOSTID=ANY
FEATURE 371 cdslmd 1000.0000 permanent uncounted 5939760DFA393D65 \
HOSTID=ANY
FEATURE 37100 cdslmd 1000.0000 permanent uncounted DF3984103D0178FE \
HOSTID=ANY
FEATURE 373 cdslmd 1000.0000 permanent uncounted 5B1D740BE2373D65 \
HOSTID=ANY
FEATURE 40020 cdslmd 1000.0000 permanent uncounted DEBF7D0BC4047403 \
HOSTID=ANY
FEATURE 40030 cdslmd 1000.0000 permanent uncounted DEBE7D0BC4037403 \
HOSTID=ANY
FEATURE 40040 cdslmd 1000.0000 permanent uncounted DEC17D0BC8027403 \
HOSTID=ANY
FEATURE 40500 cdslmd 1000.0000 permanent uncounted E3BD7808C8017403 \
HOSTID=ANY
FEATURE 41000 cdslmd 1000.0000 permanent uncounted DEC47C0AC7057305 \
HOSTID=ANY
FEATURE 50000 cdslmd 1000.0000 permanent uncounted C6A676ECC80B55FE \
HOSTID=ANY
FEATURE 50010 cdslmd 1000.0000 permanent uncounted C6A576ECC80A55FE \
HOSTID=ANY
FEATURE 501 cdslmd 1000.0000 permanent uncounted 61488D0E0F5A4A2B \
HOSTID=ANY
FEATURE 50110 cdslmd 1000.0000 permanent uncounted C79F75E5C80B55FE \
HOSTID=ANY
FEATURE 50200 cdslmd 1000.0000 permanent uncounted A89A94E6C40955FE \
HOSTID=ANY
FEATURE 51022 cdslmd 1000.0000 permanent uncounted C89975E5C30C56FC \
HOSTID=ANY
FEATURE 51023 cdslmd 1000.0000 permanent uncounted C99A75E6C30C57FC \
HOSTID=ANY
FEATURE 51060 cdslmd 1000.0000 permanent uncounted C6AB75EBD30854FC \
HOSTID=ANY
FEATURE 51070 cdslmd 1000.0000 permanent uncounted C6AE75EBD70754FC \
HOSTID=ANY
FEATURE 51170 cdslmd 1000.0000 permanent uncounted C7A474E4D30854FC \
HOSTID=ANY
FEATURE 550 cdslmd 1000.0000 permanent uncounted 5E39750CFC3A4567 \
HOSTID=ANY
FEATURE 570 cdslmd 1000.0000 permanent uncounted 5E37770AFA384367 \
HOSTID=ANY
FEATURE 61300 cdslmd 1000.0000 permanent uncounted A99E93E7C70855FF \
HOSTID=ANY
FEATURE 61400 cdslmd 1000.0000 permanent uncounted AA9692E0C70755FF \
HOSTID=ANY
FEATURE 920 cdslmd 1000.0000 permanent uncounted 66468E0B0D554C2F \
HOSTID=ANY
FEATURE 940 cdslmd 1000.0000 permanent uncounted 66349009FB2B4A57 \
HOSTID=ANY
FEATURE 945 cdslmd 1000.0000 permanent uncounted 6D108902E3264A57 \
HOSTID=ANY
FEATURE 950 cdslmd 1000.0000 permanent uncounted 66357108FC2E4973 \
HOSTID=ANY
FEATURE 960 cdslmd 1000.0000 permanent uncounted 66327207F931486F \
HOSTID=ANY
FEATURE 963 cdslmd 1000.0000 permanent uncounted 6B126D02E12E486F \
HOSTID=ANY
FEATURE 964 cdslmd 1000.0000 permanent uncounted 6A126E03E12D486F \
HOSTID=ANY
FEATURE 965 cdslmd 1000.0000 permanent uncounted 6D166B00E92C486F \
HOSTID=ANY
FEATURE 966 cdslmd 1000.0000 permanent uncounted 6C166C01E92B486F \
HOSTID=ANY
FEATURE 972 cdslmd 1000.0000 permanent uncounted 68177104E22A4773 \
HOSTID=ANY
FEATURE 974 cdslmd 1000.0000 permanent uncounted 6A136F02E2284773 \
HOSTID=ANY
FEATURE 991 cdslmd 1000.0000 permanent uncounted 692D7211F8395563 \
HOSTID=ANY
FEATURE 994 cdslmd 1000.0000 permanent uncounted 6A31711000365563 \
HOSTID=ANY
FEATURE 995 cdslmd 1000.0000 permanent uncounted 6D2D6E0D00355563 \
HOSTID=ANY
FEATURE ABIT cdslmd 1000.0000 permanent uncounted 1003010D05C65F77 \
HOSTID=ANY
FEATURE ALL_EBD cdslmd 1000.0000 permanent uncounted 30EBE82B46A4813D \
HOSTID=ANY
FEATURE AMD_MACH cdslmd 1000.0000 permanent uncounted \
741ECEDB835EFD1B HOSTID=ANY
FEATURE ANALOG_WORKBENCH cdslmd 1000.0000 permanent uncounted \
1882D65D5E980D4C HOSTID=ANY
FEATURE AWB_BEHAVIOR cdslmd 1000.0000 permanent uncounted \
C36077EB515DD762 HOSTID=ANY
FEATURE AWB_Batch cdslmd 1000.0000 permanent uncounted \
EF4B8C9E26A6389C HOSTID=ANY
FEATURE AWB_DIST_SIM cdslmd 1000.0000 permanent uncounted \
AB3EB49A4B64A73B HOSTID=ANY
FEATURE AWB_MAGAZINE cdslmd 1000.0000 permanent uncounted \
C1255BC53F0D786E HOSTID=ANY
FEATURE AWB_MAGNETICS cdslmd 1000.0000 permanent uncounted \
BDBE154F510B0645 HOSTID=ANY
FEATURE AWB_MIX cdslmd 1000.0000 permanent uncounted 4FFE9C50E9F78D6E \
HOSTID=ANY
FEATURE AWB_PPLOT cdslmd 1000.0000 permanent uncounted \
B43975C90B5D20FD HOSTID=ANY
FEATURE AWB_RESOLVE_OPT cdslmd 1000.0000 permanent uncounted \
49080B7DD5CDDA6D HOSTID=ANY
FEATURE AWB_SIMULATOR cdslmd 1000.0000 permanent uncounted \
6C7E59A96E8F3041 HOSTID=ANY
FEATURE AWB_SMOKE cdslmd 1000.0000 permanent uncounted \
DC26749A086B20E7 HOSTID=ANY
FEATURE AWB_SPICEPLUS cdslmd 1000.0000 permanent uncounted \
9457560B243CE1E1 HOSTID=ANY
FEATURE AWB_STATS cdslmd 1000.0000 permanent uncounted \
C22390B1EF6B23E7 HOSTID=ANY
FEATURE Actel_FPGA cdslmd 1000.0000 permanent uncounted \
6E5674CB04150B99 HOSTID=ANY
FEATURE Advanced_Cell_Placer cdslmd 1000.0000 permanent uncounted \
0FA05B97F0FC6213 HOSTID=ANY
FEATURE Advanced_Package_Designer cdslmd 1000.0000 permanent \
uncounted DE977E05BF943011 HOSTID=ANY
FEATURE Affirma_AMS_distrib_processing cdslmd 1000.0000 permanent \
uncounted 92C7D1396FC105FE HOSTID=ANY
FEATURE Affirma_NC_Simulator cdslmd 1000.0000 permanent uncounted \
FA97254F9C96998E HOSTID=ANY
FEATURE Affirma_NC_VHDL_Desktop_Sim cdslmd 1000.0000 permanent \
uncounted 839873AC279696CD HOSTID=ANY
FEATURE Affirma_RF_IC_package cdslmd 1000.0000 permanent uncounted \
F59FD6E29CA1D083 HOSTID=ANY
FEATURE Affirma_RF_SPW_model_link cdslmd 1000.0000 permanent \
uncounted B973312412863500 HOSTID=ANY
FEATURE Affirma_advanced_analysis_env cdslmd 1000.0000 permanent \
uncounted 407481E258B06B32 HOSTID=ANY
FEATURE Affirma_equivalence_checker cdslmd 1000.0000 permanent \
uncounted D1B5B9B30E5AC3D1 HOSTID=ANY
FEATURE Affirma_sim_analysis_env cdslmd 1000.0000 permanent uncounted \
9B14762C5723AE09 HOSTID=ANY
FEATURE Allegro_CAD_Interface cdslmd 1000.0000 permanent uncounted \
ABB07DB376927B46 HOSTID=ANY
FEATURE Allegro_Designer cdslmd 1000.0000 permanent uncounted \
5F492F4B3159DCC0 HOSTID=ANY
FEATURE Allegro_PCB_Interface cdslmd 1000.0000 permanent uncounted \
DAC4409EA4546A7C HOSTID=ANY
FEATURE Altera_MAX cdslmd 1000.0000 permanent uncounted \
E7B535F1E7FFC383 HOSTID=ANY
FEATURE Ambit_BuildGates cdslmd 1000.0000 permanent uncounted \
F2FA75C554FD8D08 HOSTID=ANY
FEATURE Ambit_libcompile cdslmd 1000.0000 permanent uncounted \
BA9CCB8918BAA05A HOSTID=ANY
FEATURE Artist_Optimizer cdslmd 1000.0000 permanent uncounted \
F2ED5B089D01BCB4 HOSTID=ANY
FEATURE Artist_Statistics cdslmd 1000.0000 permanent uncounted \
23BFD87E03C6108B HOSTID=ANY
FEATURE Atmel_ATV cdslmd 1000.0000 permanent uncounted \
C6754F6F49ABAAA5 HOSTID=ANY
FEATURE BOGUS cdslmd 1000.0000 permanent uncounted 360615BE450720CF \
HOSTID=ANY
FEATURE Base_Digital_Body_Lib cdslmd 1000.0000 permanent uncounted \
28BC94451093899F HOSTID=ANY
FEATURE Base_Verilog_Lib cdslmd 1000.0000 permanent uncounted \
062AD0AD32FD168C HOSTID=ANY
FEATURE BlockMaster_Characterizer cdslmd 1000.0000 permanent \
uncounted 8B3ED7D9527486C1 HOSTID=ANY
FEATURE BlockMaster_Optimizer cdslmd 1000.0000 permanent uncounted \
5C5C1CF16723B118 HOSTID=ANY
FEATURE BoardQuest_Team cdslmd 1000.0000 permanent uncounted \
9CD55E8654B7C60E HOSTID=ANY
FEATURE BuildGates_Extreme cdslmd 1000.0000 permanent uncounted \
86C2FDF9F79EB564 HOSTID=ANY
FEATURE CELL3 cdslmd 1000.0000 permanent uncounted 591A0BD02B0435EA \
HOSTID=ANY
FEATURE CELL3_ARO cdslmd 1000.0000 permanent uncounted \
B14683CFC2D93FE5 HOSTID=ANY
FEATURE CELL3_CROSSTALK cdslmd 1000.0000 permanent uncounted \
3FC2255BB8CF30AA HOSTID=ANY
FEATURE CELL3_CTS cdslmd 1000.0000 permanent uncounted \
D52E73B3C6CB55F5 HOSTID=ANY
FEATURE CELL3_ECL cdslmd 1000.0000 permanent uncounted \
B83C91D0A4CD45F5 HOSTID=ANY
FEATURE CELL3_OPENDEV cdslmd 1000.0000 permanent uncounted \
614C5E4EEF492B30 HOSTID=ANY
FEATURE CELL3_OPENEXE cdslmd 1000.0000 permanent uncounted \
6FA65F443F5D3A30 HOSTID=ANY
FEATURE CELL3_PA cdslmd 1000.0000 permanent uncounted \
26834CB0378E6C06 HOSTID=ANY
FEATURE CELL3_PR cdslmd 1000.0000 permanent uncounted \
15923BB0557F5B17 HOSTID=ANY
FEATURE CELL3_QPLACE_TIMING cdslmd 1000.0000 permanent uncounted \
E2C2A0ED469F006C HOSTID=ANY
FEATURE CELL3_SCAN cdslmd 1000.0000 permanent uncounted \
BCE32F7045202243 HOSTID=ANY
FEATURE CELL3_TIMING cdslmd 1000.0000 permanent uncounted \
725887DB3B81995F HOSTID=ANY
FEATURE CELL3_WIDEWIRE cdslmd 1000.0000 permanent uncounted \
B53C2A373EE90906 HOSTID=ANY
FEATURE CP_Ele_Checks cdslmd 1000.0000 permanent uncounted \
49FC2591BFA44DE5 HOSTID=ANY
FEATURE CPtoolkit cdslmd 1000.0000 permanent uncounted \
F201826BED734B0B HOSTID=ANY
FEATURE CWAVES cdslmd 1000.0000 permanent uncounted A4C374E565C38420 \
HOSTID=ANY
FEATURE CWB01 cdslmd 1000.0000 permanent uncounted 718203EAFDA049CE \
HOSTID=ANY
FEATURE CWB03 cdslmd 1000.0000 permanent uncounted 6F8803F0FDA047CE \
HOSTID=ANY
FEATURE CWB04 cdslmd 1000.0000 permanent uncounted 6C6D03D5FDA044CE \
HOSTID=ANY
FEATURE CWB05 cdslmd 1000.0000 permanent uncounted 6D8603EEFDA045CE \
HOSTID=ANY
FEATURE CheckPlus cdslmd 1000.0000 permanent uncounted \
D91587AE00565706 HOSTID=ANY
FEATURE Clock_Tree_Generation cdslmd 1000.0000 permanent uncounted \
E849332C6A9C241E HOSTID=ANY
FEATURE Cobra_Simulator cdslmd 1000.0000 permanent uncounted \
34CB39C70A311B75 HOSTID=ANY
FEATURE ComposerCheckPlus_AdvRules cdslmd 1000.0000 permanent \
uncounted EFEF6071C384896F HOSTID=ANY
FEATURE ComposerCheckPlus_Checker cdslmd 1000.0000 permanent \
uncounted 933F794A6E9E3712 HOSTID=ANY
FEATURE ComposerCheckPlus_RuleDev cdslmd 1000.0000 permanent \
uncounted 808887A04FD54500 HOSTID=ANY
FEATURE Composer_EDIF300_Connectivity cdslmd 1000.0000 permanent \
uncounted 9003422C2743A43D HOSTID=ANY
FEATURE Composer_EDIF300_Schematic cdslmd 1000.0000 permanent \
uncounted BCA1848EE01019FA HOSTID=ANY
FEATURE Composer_Spectre_Sim_Solution cdslmd 1000.0000 permanent \
uncounted 05C3DFCD29B71F4F HOSTID=ANY
FEATURE ConcICe_Option cdslmd 1000.0000 permanent uncounted \
E264266C87E5D557 HOSTID=ANY
FEATURE Corners_Analysis cdslmd 1000.0000 permanent uncounted \
9EF2E403D00B9626 HOSTID=ANY
FEATURE DISCRETE_LIB cdslmd 1000.0000 permanent uncounted \
489055D87D114BD6 HOSTID=ANY
FEATURE DRAC2CORE cdslmd 1000.0000 permanent uncounted \
C354995F4B5CE4D4 HOSTID=ANY
FEATURE DRAC2DRC cdslmd 1000.0000 permanent uncounted \
F79D47399D30C51C HOSTID=ANY
FEATURE DRAC2LVS cdslmd 1000.0000 permanent uncounted \
2BB18349C51805E0 HOSTID=ANY
FEATURE DRAC3CORE cdslmd 1000.0000 permanent uncounted \
C35599B4416839D3 HOSTID=ANY
FEATURE DRAC3DRC cdslmd 1000.0000 permanent uncounted \
F79C473A9B32C61B HOSTID=ANY
FEATURE DRAC3LVS cdslmd 1000.0000 permanent uncounted \
2BB0834AC31A06DF HOSTID=ANY
FEATURE DRACACCESS cdslmd 1000.0000 permanent uncounted \
CAF116D021427D59 HOSTID=ANY
FEATURE DRACDIST cdslmd 1000.0000 permanent uncounted \
26B97A34D802EEF7 HOSTID=ANY
FEATURE DRACERC cdslmd 1000.0000 permanent uncounted 2EEEE73346976E35 \
HOSTID=ANY
FEATURE DRACLPE cdslmd 1000.0000 permanent uncounted 11F7F33C46955F39 \
HOSTID=ANY
FEATURE DRACLVS cdslmd 1000.0000 permanent uncounted 39F7DB3C46876941 \
HOSTID=ANY
FEATURE DRACPG_E cdslmd 1000.0000 permanent uncounted \
0DB6595A982FFF2C HOSTID=ANY
FEATURE DRACPLOT cdslmd 1000.0000 permanent uncounted \
13D95F85C3172026 HOSTID=ANY
FEATURE DRACPRE cdslmd 1000.0000 permanent uncounted 13F3F53846956137 \
HOSTID=ANY
FEATURE DRACSLAVE cdslmd 1000.0000 permanent uncounted \
BC3392A70C5923FA HOSTID=ANY
FEATURE Datapath_Preview_Option cdslmd 1000.0000 permanent uncounted \
0630025E6BAD7F2F HOSTID=ANY
FEATURE Datapath_VHDL cdslmd 1000.0000 permanent uncounted \
D7EC681711F11DAF HOSTID=ANY
FEATURE Datapath_Verilog cdslmd 1000.0000 permanent uncounted \
9B59F457B4573197 HOSTID=ANY
FEATURE Device_Level_Placer cdslmd 1000.0000 permanent uncounted \
6D0A851E96825E3E HOSTID=ANY
FEATURE Device_Level_Router cdslmd 1000.0000 permanent uncounted \
365EEF35B0AF3BDE HOSTID=ANY
FEATURE Distributed_Dracula_Option cdslmd 1000.0000 permanent \
uncounted E3B90FC19CE3F57A HOSTID=ANY
FEATURE EBD_edit cdslmd 1000.0000 permanent uncounted \
EAE82B1974CBA922 HOSTID=ANY
FEATURE EBD_floorplan cdslmd 1000.0000 permanent uncounted \
BCA871A14EE1A44D HOSTID=ANY
FEATURE EBD_power cdslmd 1000.0000 permanent uncounted \
9306EF11081474C9 HOSTID=ANY
FEATURE EDIF_Netlist_Interface cdslmd 1000.0000 permanent uncounted \
ADBF6ACDE9A9C209 HOSTID=ANY
FEATURE EDIF_Schematic_Interface cdslmd 1000.0000 permanent uncounted \
C358F6EA10ABE4DC HOSTID=ANY
FEATURE EMCdisplay cdslmd 1000.0000 permanent uncounted \
BA964359596C057E HOSTID=ANY
FEATURE EMControl cdslmd 1000.0000 permanent uncounted \
F8A35E87B2EF496C HOSTID=ANY
FEATURE Envisia_GE_ultra_place_route cdslmd 1000.0000 permanent \
uncounted CBF8B33022537F52 HOSTID=ANY
FEATURE Envisia_PKS cdslmd 1000.0000 permanent uncounted \
28F10016BDA70754 HOSTID=ANY
FEATURE Envisia_RAC cdslmd 1000.0000 permanent uncounted \
20BD342AAF67173E HOSTID=ANY
FEATURE Envisia_Utility cdslmd 1000.0000 permanent uncounted \
2749A366FFE61244 HOSTID=ANY
FEATURE Envisia_LowPower_option cdslmd 1000.0000 permanent uncounted \
34ABA89506F5DE1B HOSTID=ANY
FEATURE Envisia_DataPath_option cdslmd 1000.0000 permanent uncounted \
59025073C1D2CA1B HOSTID=ANY
FEATURE Envisia_SE_ultra_place_route cdslmd 1000.0000 permanent \
uncounted 33AC676C526FF3D6 HOSTID=ANY
FEATURE Extended_Digital_Body_Lib cdslmd 1000.0000 permanent \
uncounted 67DF341E297141CB HOSTID=ANY
FEATURE Extended_Digital_Lib cdslmd 1000.0000 permanent uncounted \
9ECC56E44B1132C8 HOSTID=ANY
FEATURE Extended_Verilog_Lib cdslmd 1000.0000 permanent uncounted \
5B100F49F1DB7100 HOSTID=ANY
FEATURE FPGA_Flows cdslmd 1000.0000 permanent uncounted \
CE8C1A7154651F97 HOSTID=ANY
FEATURE FPGA_OPTIMIZER cdslmd 1000.0000 permanent uncounted \
4DB2A4BA2DF1A38A HOSTID=ANY
FEATURE FPGA_Tools cdslmd 1000.0000 permanent uncounted \
B7902C1266F8AAE5 HOSTID=ANY
FEATURE FUNCTION_LIB cdslmd 1000.0000 permanent uncounted \
AA6A781AA0E2F9A3 HOSTID=ANY
FEATURE Framework cdslmd 1000.0000 permanent uncounted \
C9C36DBC4D4C3B71 HOSTID=ANY
FEATURE GATEENSEMBLE cdslmd 1000.0000 permanent uncounted \
BC95FE4A71B258C4 HOSTID=ANY
FEATURE GATEENSEMBLE_ARO cdslmd 1000.0000 permanent uncounted \
2CE43203184DACC8 HOSTID=ANY
FEATURE GATEENSEMBLE_CROSSTALK cdslmd 1000.0000 permanent uncounted \
87C177E46D5E8092 HOSTID=ANY
FEATURE GATEENSEMBLE_CTS cdslmd 1000.0000 permanent uncounted \
4CFE4E01522FCCAC HOSTID=ANY
FEATURE GATEENSEMBLE_CTS_LE cdslmd 1000.0000 permanent uncounted \
7EC89B4BD1032DBB HOSTID=ANY
FEATURE GATEENSEMBLE_CTS_UL cdslmd 1000.0000 permanent uncounted \
89C98937F6F124BB HOSTID=ANY
FEATURE GATEENSEMBLE_ECL cdslmd 1000.0000 permanent uncounted \
38D2FA291A4AEF00 HOSTID=ANY
FEATURE GATEENSEMBLE_LOWEND cdslmd 1000.0000 permanent uncounted \
79DB768F1071855F HOSTID=ANY
FEATURE GATEENSEMBLE_OPENDEV cdslmd 1000.0000 permanent uncounted \
E5543C0DE5CA950A HOSTID=ANY
FEATURE GATEENSEMBLE_OPENEXE cdslmd 1000.0000 permanent uncounted \
FC4A2617E4B9980A HOSTID=ANY
FEATURE GATEENSEMBLE_PA cdslmd 1000.0000 permanent uncounted \
AAB0611FD47B568B HOSTID=ANY
FEATURE GATEENSEMBLE_PR_LE cdslmd 1000.0000 permanent uncounted \
F8090F3A3C0C900E HOSTID=ANY
FEATURE GATEENSEMBLE_PR_UL cdslmd 1000.0000 permanent uncounted \
06CDE9BC073A20FF HOSTID=ANY
FEATURE GATEENSEMBLE_QPLACE_TIMING cdslmd 1000.0000 permanent \
uncounted 99360946BCBCBD91 HOSTID=ANY
FEATURE GATEENSEMBLE_SCAN cdslmd 1000.0000 permanent uncounted \
9A8D93EB67D6CF05 HOSTID=ANY
FEATURE GATEENSEMBLE_TIMING cdslmd 1000.0000 permanent uncounted \
949F6B9410329B63 HOSTID=ANY
FEATURE GATEENSEMBLE_TIMING_LE cdslmd 1000.0000 permanent uncounted \
9C15373F792999F8 HOSTID=ANY
FEATURE GATEENSEMBLE_TIMING_UL cdslmd 1000.0000 permanent uncounted \
AA0E2E387929A701 HOSTID=ANY
FEATURE GATEENSEMBLE_UNLIMITED cdslmd 1000.0000 permanent uncounted \
A30C281077276F00 HOSTID=ANY
FEATURE GATEENSEMBLE_WIDEWIRE cdslmd 1000.0000 permanent uncounted \
A150183CA931CD4C HOSTID=ANY
FEATURE Gate_Ensemble_DSM cdslmd 1000.0000 permanent uncounted \
932C1883D413F409 HOSTID=ANY
FEATURE Gate_Ensemble_DSM_Crosstalk cdslmd 1000.0000 permanent \
uncounted 3D7234564685A07E HOSTID=ANY
FEATURE Gate_Ensemble_WARP cdslmd 1000.0000 permanent uncounted \
24B68553BF6C0390 HOSTID=ANY
FEATURE HDL-DESKTOP cdslmd 1000.0000 permanent uncounted \
F09C942FD36CB35B HOSTID=ANY
FEATURE IC_Inspector cdslmd 1000.0000 permanent uncounted \
C36476A925679A8E HOSTID=ANY
FEATURE IC_autoroute cdslmd 1000.0000 permanent uncounted \
CD136B2FA77A17A0 HOSTID=ANY
FEATURE IC_edit cdslmd 1000.0000 permanent uncounted D79BA138B72A1A22 \
HOSTID=ANY
FEATURE IC_hsrules cdslmd 1000.0000 permanent uncounted \
88894908F0A97388 HOSTID=ANY
FEATURE IDF_Bi_Directional_Interface cdslmd 1000.0000 permanent \
uncounted 8D0ED78AAE6A7278 HOSTID=ANY
FEATURE LAS_Cell_Optimization cdslmd 1000.0000 permanent uncounted \
A7CE0A5C51AF03C2 HOSTID=ANY
FEATURE LEAPFROG-BV cdslmd 1000.0000 permanent uncounted \
2A1D1814F6B40A25 HOSTID=ANY
FEATURE LEAPFROG-CV cdslmd 1000.0000 permanent uncounted \
2A0A1913E39F0939 HOSTID=ANY
FEATURE LEAPFROG-SLAVE cdslmd 1000.0000 permanent uncounted \
68F2C9AE424C65E8 HOSTID=ANY
FEATURE LEAPFROG-SV cdslmd 1000.0000 permanent uncounted \
2A1A0923F3AF1939 HOSTID=ANY
FEATURE LEAPFROG-SYS cdslmd 1000.0000 permanent uncounted \
5E2C90915D27D6FD HOSTID=ANY
FEATURE LID10 cdslmd 1000.0000 permanent uncounted C2F708361B90A3ED \
HOSTID=ANY
FEATURE LID11 cdslmd 1000.0000 permanent uncounted C1F608351B90A2ED \
HOSTID=ANY
FEATURE LINEAR_LIB cdslmd 1000.0000 permanent uncounted \
A57B70593633E486 HOSTID=ANY
FEATURE LSE cdslmd 1000.0000 permanent uncounted 5B76C746F650783E \
HOSTID=ANY
FEATURE MAG_LIB cdslmd 1000.0000 permanent uncounted B93BC1D2B9241C2C \
HOSTID=ANY
FEATURE MIXAD_LIB cdslmd 1000.0000 permanent uncounted \
C9977080C6B244A8 HOSTID=ANY
FEATURE Model_Check_Analysis cdslmd 1000.0000 permanent uncounted \
9B37834FD86126B5 HOSTID=ANY
FEATURE NCSim_Desktop cdslmd 1000.0000 permanent uncounted \
7CDE11E74FCEEF3A HOSTID=ANY
FEATURE NCVLOG_CGOPTS cdslmd 1000.0000 permanent uncounted \
E0F2D9D15ED2F329 HOSTID=ANY
FEATURE NC_Verilog_Compiler cdslmd 1000.0000 permanent uncounted \
A4741F8DC629A5D8 HOSTID=ANY
FEATURE NC_Verilog_Data_Prep_Compiler cdslmd 1000.0000 permanent \
uncounted 3A5565C012ADB3E8 HOSTID=ANY
FEATURE NC_Verilog_Simulator cdslmd 1000.0000 permanent uncounted \
A2A704617D5F31F3 HOSTID=ANY
FEATURE NC_VHDL_Simulator cdslmd 1000.0000 permanent uncounted \
AD7703F81E68E52C HOSTID=ANY
FEATURE NC-simulator cdslmd 1000.0000 permanent uncounted \
24E905153F42FB23 HOSTID=ANY
FEATURE Nihongoconcept cdslmd 1000.0000 permanent uncounted \
6F0894B2651E5C2A HOSTID=ANY
FEATURE OASIS_Simulation_Interface cdslmd 1000.0000 permanent \
uncounted 6D357E3F0EEBF808 HOSTID=ANY
FEATURE OpenModeler_SFI cdslmd 1000.0000 permanent uncounted \
794E7E026D0FCBA2 HOSTID=ANY
FEATURE OpenModeler_SWIFT cdslmd 1000.0000 permanent uncounted \
7FEF1CFE75B4749F HOSTID=ANY
FEATURE OpenSim cdslmd 1000.0000 permanent uncounted 91C0CD082AA5BE47 \
HOSTID=ANY
FEATURE OpenWaves cdslmd 1000.0000 permanent uncounted \
EAB890C633607558 HOSTID=ANY
FEATURE PICDesigner cdslmd 1000.0000 permanent uncounted \
F10C6B0CCE71F154 HOSTID=ANY
FEATURE PIC_Utilities cdslmd 1000.0000 permanent uncounted \
631826853F543EB3 HOSTID=ANY
FEATURE PLD cdslmd 1000.0000 permanent uncounted 607BBF3CFB547342 \
HOSTID=ANY
FEATURE PWM_LIB cdslmd 1000.0000 permanent uncounted A96C9CB14B99E777 \
HOSTID=ANY
FEATURE Pearl cdslmd 1000.0000 permanent uncounted D32E0B47DBFAAFE1 \
HOSTID=ANY
FEATURE Pearl_Cell cdslmd 1000.0000 permanent uncounted \
B971326B48FD02D6 HOSTID=ANY
FEATURE Placement_Based_Synthesis cdslmd 1000.0000 permanent \
uncounted 37F8270F0816349F HOSTID=ANY
FEATURE Placement_Based_Synthesis cdslmd 1000.0000 permanent \
uncounted 37F8270F0816349F HOSTID=ANY
FEATURE Prevail_Board_Designer cdslmd 1000.0000 permanent uncounted \
60BB93CEE22D6952 HOSTID=ANY
FEATURE Prevail_Correct_By_Design cdslmd 1000.0000 permanent \
uncounted E819C915C721F059 HOSTID=ANY
FEATURE Prevail_Designer cdslmd 1000.0000 permanent uncounted \
2F72534A307D958C HOSTID=ANY
FEATURE Preview_Synopsys_Interface cdslmd 1000.0000 permanent \
uncounted AEE38286EDF2C713 HOSTID=ANY
FEATURE QPlace cdslmd 1000.0000 permanent uncounted C349F46B82960D1A \
HOSTID=ANY
FEATURE Quickturn_Model_Manager cdslmd 1000.0000 permanent uncounted \
D3049F7E0671A43F HOSTID=ANY
FEATURE RapidPART cdslmd 1000.0000 permanent uncounted \
9729F4181D3476A9 HOSTID=ANY
FEATURE SWIFT cdslmd 1000.0000 permanent uncounted 13B3EC7C39F3D4DE \
HOSTID=ANY
FEATURE Schematic_Generator cdslmd 1000.0000 permanent uncounted \
B3AF5E7112C58FE3 HOSTID=ANY
FEATURE SigNoiseCS cdslmd 1000.0000 permanent uncounted \
B67A27731F4506CA HOSTID=ANY
FEATURE SigNoiseEngineer cdslmd 1000.0000 permanent uncounted \
2FF159A9EAE25143 HOSTID=ANY
FEATURE SigNoiseExpert cdslmd 1000.0000 permanent uncounted \
D7262BF852325B01 HOSTID=ANY
FEATURE SigNoiseStdDigLib cdslmd 1000.0000 permanent uncounted \
0A1D4CCFC81F8F9E HOSTID=ANY
FEATURE Signal_Integrity cdslmd 1000.0000 permanent uncounted \
54DD726C59E79D06 HOSTID=ANY
FEATURE SiliconQuest cdslmd 1000.0000 permanent uncounted \
3F3AB637677EC5EC HOSTID=ANY
FEATURE SiliconQuest_CTGen_Option cdslmd 1000.0000 permanent \
uncounted 9D999732D492CD98 HOSTID=ANY
FEATURE Silicon_Ensemble cdslmd 1000.0000 permanent uncounted \
FCA019F17337311F HOSTID=ANY
FEATURE Silicon_Ensemble_CTS cdslmd 1000.0000 permanent uncounted \
A8FB5856D5ACF618 HOSTID=ANY
FEATURE Silicon_Ensemble_DSM cdslmd 1000.0000 permanent uncounted \
BD143A80B8B2FB2C HOSTID=ANY
FEATURE Silicon_Ensemble_DSM_Crosstalk cdslmd 1000.0000 permanent \
uncounted D431D4A98E4A9FA5 HOSTID=ANY
FEATURE Silicon_Ensemble_OpenDev cdslmd 1000.0000 permanent uncounted \
C1B5D90749D97C6C HOSTID=ANY
FEATURE Silicon_Ensemble_OpenExe cdslmd 1000.0000 permanent uncounted \
B88FBCD434E35B89 HOSTID=ANY
FEATURE Silicon_Ensemble_WARP cdslmd 1000.0000 permanent uncounted \
C45577B1C6AAE6DD HOSTID=ANY
FEATURE Silicon_Synthesis_QPBS cdslmd 1000.0000 permanent uncounted \
874344117044792A HOSTID=ANY
FEATURE SimControl cdslmd 1000.0000 permanent uncounted \
B3762B523F37DAA8 HOSTID=ANY
FEATURE SimVision cdslmd 1000.0000 permanent uncounted \
FC9A5EFE337DC858 HOSTID=ANY
FEATURE SpectreBasic cdslmd 1000.0000 permanent uncounted \
9DC29A72179536A1 HOSTID=ANY
FEATURE SpectreRF cdslmd 1000.0000 permanent uncounted \
B7C99C48D6B6D878 HOSTID=ANY
FEATURE Spectre_BTAHVMOS_Models cdslmd 1000.0000 permanent uncounted \
2C3E32B272F5B176 HOSTID=ANY
FEATURE Spectre_BTASOI_Models cdslmd 1000.0000 permanent uncounted \
A82C603BA5C87EF8 HOSTID=ANY
FEATURE Spectre_NorTel_Models cdslmd 1000.0000 permanent uncounted \
A4CB8AF2AB5A9F43 HOSTID=ANY
FEATURE Spectre_ST_Models cdslmd 1000.0000 permanent uncounted \
33A19B007F6ACBB3 HOSTID=ANY
FEATURE Substrate_Coupling_Analysis cdslmd 1000.0000 permanent \
uncounted 85F70EC56845C7B7 HOSTID=ANY
FEATURE Synlink_Interface cdslmd 1000.0000 permanent uncounted \
349BB99FAB9B844B HOSTID=ANY
FEATURE UET cdslmd 1000.0000 permanent uncounted 8249A118F4467547 \
HOSTID=ANY
FEATURE ULMdelta cdslmd 1000.0000 permanent uncounted \
0CF32E0421959390 HOSTID=ANY
FEATURE ULMecho cdslmd 1000.0000 permanent uncounted A8BBD61224ACE13A \
HOSTID=ANY
FEATURE ULMhotel cdslmd 1000.0000 permanent uncounted \
20DF1E101BA6C896 HOSTID=ANY
FEATURE ULMindia cdslmd 1000.0000 permanent uncounted \
1BEE2D441BA2EE91 HOSTID=ANY
FEATURE ULMjuliette cdslmd 1000.0000 permanent uncounted \
47AB27F7DE162B7B HOSTID=ANY
FEATURE ULMmike cdslmd 1000.0000 permanent uncounted A1BDD10C44BAD00F \
HOSTID=ANY
FEATURE Universal_Smartpath cdslmd 1000.0000 permanent uncounted \
6AE2AAD7E8BE91E0 HOSTID=ANY
FEATURE VERILOG-SLAVE cdslmd 1000.0000 permanent uncounted \
39BB550B53AB85A7 HOSTID=ANY
FEATURE VERILOG-XL cdslmd 1000.0000 permanent uncounted \
17560B483978437C HOSTID=ANY
FEATURE VERITIME cdslmd 1000.0000 permanent uncounted \
7876BC33A34D6683 HOSTID=ANY
FEATURE VHDLLink cdslmd 1000.0000 permanent uncounted \
FEFA390733949689 HOSTID=ANY
FEATURE VHDL_desktop cdslmd 1000.0000 permanent uncounted \
99F796346967EBAD HOSTID=ANY
FEATURE VXL-ALPHA cdslmd 1000.0000 permanent uncounted \
D9786A89451F549A HOSTID=ANY
FEATURE VXL-LMC-HW-IF cdslmd 1000.0000 permanent uncounted \
953B1B316A067A62 HOSTID=ANY
FEATURE VXL-SWITCH-RC cdslmd 1000.0000 permanent uncounted \
A5F1D60965CE3F77 HOSTID=ANY
FEATURE VXL-TURBO cdslmd 1000.0000 permanent uncounted \
E4895F754E383982 HOSTID=ANY
FEATURE VXL-VCW cdslmd 1000.0000 permanent uncounted B323E5B17238FE2C \
HOSTID=ANY
FEATURE VXL-VET cdslmd 1000.0000 permanent uncounted BA23A6B17235046D \
HOSTID=ANY
FEATURE VXL-VLS cdslmd 1000.0000 permanent uncounted 9E23A8B1723CE561 \
HOSTID=ANY
FEATURE VXL-VRA cdslmd 1000.0000 permanent uncounted AA23ACB1722AEF6D \
HOSTID=ANY
FEATURE Vampire_HDRC cdslmd 1000.0000 permanent uncounted \
E767FF970CE8B9AD HOSTID=ANY
FEATURE Vampire_HLVS cdslmd 1000.0000 permanent uncounted \
FB7FF37B2CDC919D HOSTID=ANY
FEATURE Vampire_MP cdslmd 1000.0000 permanent uncounted \
90C168FCFDFB6C3F HOSTID=ANY
FEATURE Vampire_RCX cdslmd 1000.0000 permanent uncounted \
B61E5C0391FE9D15 HOSTID=ANY
FEATURE Vampire_UI cdslmd 1000.0000 permanent uncounted \
6002A777260AB709 HOSTID=ANY
FEATURE Verif_Ckpit_Analysis_Env cdslmd 1000.0000 permanent uncounted \
65C6AFE036B52106 HOSTID=ANY
FEATURE Verilog_XL_Turbo_NT cdslmd 1000.0000 permanent uncounted \
E8132E707E27A78D HOSTID=ANY
FEATURE Verilog_XL_Desktop cdslmd 1000.0000 permanent uncounted \
4DBCEB4C2ACCDD1D HOSTID=ANY
FEATURE Verilog_desktop cdslmd 1000.0000 permanent uncounted \
B8D836889C9A5C86 HOSTID=ANY
FEATURE Virtuoso_Schem_Option cdslmd 1000.0000 permanent uncounted \
FF95E1D7E39E5EB9 HOSTID=ANY
FEATURE Virtuoso_XL cdslmd 1000.0000 permanent uncounted \
27E4060A042D148E HOSTID=ANY
FEATURE Xilinx_FPGA cdslmd 1000.0000 permanent uncounted \
2EBBF5D4A6B5E249 HOSTID=ANY
FEATURE a2dxf cdslmd 1000.0000 permanent uncounted 60C6D4FCF61951D6 \
HOSTID=ANY
FEATURE aae-signalscan cdslmd 1000.0000 permanent uncounted \
92696FCD844FB77D HOSTID=ANY
FEATURE aae-signalscan-transaction cdslmd 1000.0000 permanent \
uncounted EBB38D713120960D HOSTID=ANY
FEATURE aae-transaction-explorer cdslmd 1000.0000 permanent uncounted \
1F231A7529175184 HOSTID=ANY
FEATURE actomd cdslmd 1000.0000 permanent uncounted 1CD408CA81AD570D \
HOSTID=ANY
FEATURE affirma-signalscan cdslmd 1000.0000 permanent uncounted \
498D878B881BDD6A HOSTID=ANY
FEATURE affirma-signalscan-control cdslmd 1000.0000 permanent \
uncounted 283E94B1F0FFAD8D HOSTID=ANY
FEATURE affirma-signalscan-pro cdslmd 1000.0000 permanent uncounted \
5AD9C0BCDE3D9278 HOSTID=ANY
FEATURE affirma-signalscan-schmatic cdslmd 1000.0000 permanent \
uncounted F3BF5DA96688774D HOSTID=ANY
FEATURE affirma-signalscan-source cdslmd 1000.0000 permanent \
uncounted C419FFEDD0A93C2A HOSTID=ANY
FEATURE affirma-signalscan-transaction cdslmd 1000.0000 permanent \
uncounted FCF27EA4AA8BD16E HOSTID=ANY
FEATURE affirma-transaction-explorer cdslmd 1000.0000 permanent \
uncounted 0C3BB46D63DA8655 HOSTID=ANY
FEATURE allegro_dfa cdslmd 1000.0000 permanent uncounted \
01881A70D5775201 HOSTID=ANY
FEATURE allegro_dfa_att cdslmd 1000.0000 permanent uncounted \
2F1310E0742C2B7D HOSTID=ANY
FEATURE allegro_non_partner cdslmd 1000.0000 permanent uncounted \
82795A5C4FF11B1A HOSTID=ANY
FEATURE allegro_symbol cdslmd 1000.0000 permanent uncounted \
4F5EAFF667632ECC HOSTID=ANY
FEATURE allegroprance cdslmd 1000.0000 permanent uncounted \
BE723520193F0409 HOSTID=ANY
FEATURE archiver cdslmd 1000.0000 permanent uncounted \
12CF4032F3E2BA2E HOSTID=ANY
FEATURE arouter cdslmd 1000.0000 permanent uncounted 6DF2BC2FE6F98D46 \
HOSTID=ANY
FEATURE caeviews cdslmd 1000.0000 permanent uncounted \
53EEAC465CF259B2 HOSTID=ANY
FEATURE cals_out cdslmd 1000.0000 permanent uncounted \
F66A0CA559E051D9 HOSTID=ANY
FEATURE catia cdslmd 1000.0000 permanent uncounted 53CFF716F3EB63F2 \
HOSTID=ANY
FEATURE cbds_in cdslmd 1000.0000 permanent uncounted 41D9D771B8FEB850 \
HOSTID=ANY
FEATURE cdxe_in cdslmd 1000.0000 permanent uncounted 5DCD7D67BEEABA9C \
HOSTID=ANY
FEATURE comp cdslmd 1000.0000 permanent uncounted ECE0380AF8CB7461 \
HOSTID=ANY
FEATURE compose cdslmd 1000.0000 permanent uncounted 2BC5E027C108632B \
HOSTID=ANY
FEATURE compose_autoplan cdslmd 1000.0000 permanent uncounted \
8F3BA93DE84514AB HOSTID=ANY
FEATURE compose_gcr cdslmd 1000.0000 permanent uncounted \
F4A725AAD4586319 HOSTID=ANY
FEATURE compose_scells cdslmd 1000.0000 permanent uncounted \
3D3CD4626DC94DDE HOSTID=ANY
FEATURE compose_tlmr cdslmd 1000.0000 permanent uncounted \
748692E859397C80 HOSTID=ANY
FEATURE compose_util cdslmd 1000.0000 permanent uncounted \
40232FD33500953F HOSTID=ANY
FEATURE concept cdslmd 1000.0000 permanent uncounted 3CF0CF2241A5643F \
HOSTID=ANY
FEATURE conceptXPC cdslmd 1000.0000 permanent uncounted \
E1E43A1A4B19E12A HOSTID=ANY
FEATURE cpe cdslmd 1000.0000 permanent uncounted 4D7ECB50F7587439 \
HOSTID=ANY
FEATURE cpte cdslmd 1000.0000 permanent uncounted E93038063FD67365 \
HOSTID=ANY
FEATURE crefer cdslmd 1000.0000 permanent uncounted 9FAE7CDE8094801F \
HOSTID=ANY
FEATURE cvtomd cdslmd 1000.0000 permanent uncounted 18C517DD74C06EF1 \
HOSTID=ANY
FEATURE debug cdslmd 1000.0000 permanent uncounted 5994F6B1DBEA20ED \
HOSTID=ANY
FEATURE dfsverifault cdslmd 1000.0000 permanent uncounted \
7B138CFA1324AA83 HOSTID=ANY
FEATURE dracula_in cdslmd 1000.0000 permanent uncounted \
A3E634D407F45C9A HOSTID=ANY
FEATURE dxf2a cdslmd 1000.0000 permanent uncounted 6376FFE0007A3BF3 \
HOSTID=ANY
FEATURE e2v cdslmd 1000.0000 permanent uncounted 647E9C191D6B5833 \
HOSTID=ANY
FEATURE edif2ged cdslmd 1000.0000 permanent uncounted \
A18CB3D470BFF326 HOSTID=ANY
FEATURE expgen cdslmd 1000.0000 permanent uncounted 2A4D996966940429 \
HOSTID=ANY
FEATURE fethman cdslmd 1000.0000 permanent uncounted B7A0CF0BBDEBF055 \
HOSTID=ANY
FEATURE fetsetup cdslmd 1000.0000 permanent uncounted \
36004CBB9C31887C HOSTID=ANY
FEATURE fluke cdslmd 1000.0000 permanent uncounted FE31D659E0E2B5F5 \
HOSTID=ANY
FEATURE fsim cdslmd 1000.0000 permanent uncounted E8841B4742E34356 \
HOSTID=ANY
FEATURE gbom cdslmd 1000.0000 permanent uncounted BE6029252FD73581 \
HOSTID=ANY
FEATURE ged2edif cdslmd 1000.0000 permanent uncounted \
29B52749AE643F47 HOSTID=ANY
FEATURE glib cdslmd 1000.0000 permanent uncounted D071294D37D44B69 \
HOSTID=ANY
FEATURE gloss cdslmd 1000.0000 permanent uncounted E456FD78E401C2D8 \
HOSTID=ANY
FEATURE gphysdly cdslmd 1000.0000 permanent uncounted \
0A120DCB9E204ABA HOSTID=ANY
FEATURE gscald cdslmd 1000.0000 permanent uncounted 1E3FB36C839E04E3 \
HOSTID=ANY
FEATURE gspares cdslmd 1000.0000 permanent uncounted B1D3BF09459ED64B \
HOSTID=ANY
FEATURE hp3070 cdslmd 1000.0000 permanent uncounted 2345977D7E9B1D10 \
HOSTID=ANY
FEATURE iges_electrical cdslmd 1000.0000 permanent uncounted \
4CBB8856B4872276 HOSTID=ANY
FEATURE intrgloss cdslmd 1000.0000 permanent uncounted \
D0F35D1B6199AE5D HOSTID=ANY
FEATURE intrroute cdslmd 1000.0000 permanent uncounted \
C0E777A7EEF73456 HOSTID=ANY
FEATURE intrsignoise cdslmd 1000.0000 permanent uncounted \
80E2BA554F8730AB HOSTID=ANY
FEATURE ipc_in cdslmd 1000.0000 permanent uncounted 2953AA746CC514ED \
HOSTID=ANY
FEATURE ipc_out cdslmd 1000.0000 permanent uncounted 8C5DE098E8F7C038 \
HOSTID=ANY
FEATURE lwb cdslmd 1000.0000 permanent uncounted 564AB047C2AF74FE \
HOSTID=ANY
FEATURE mdin cdslmd 1000.0000 permanent uncounted C87F1F3F37D62973 \
HOSTID=ANY
FEATURE mdout cdslmd 1000.0000 permanent uncounted 194FCB63E815C5CE \
HOSTID=ANY
FEATURE mdtoac cdslmd 1000.0000 permanent uncounted B75D265D82E4F9B4 \
HOSTID=ANY
FEATURE mdtocv cdslmd 1000.0000 permanent uncounted CCBB11BB82E40EC9 \
HOSTID=ANY
FEATURE multiwire cdslmd 1000.0000 permanent uncounted \
FBA647F12A97A959 HOSTID=ANY
FEATURE packager cdslmd 1000.0000 permanent uncounted \
4968BC9E68ECB524 HOSTID=ANY
FEATURE pcb_editor cdslmd 1000.0000 permanent uncounted \
93018E4D2CF5BE2E HOSTID=ANY
FEATURE pcb_engineer cdslmd 1000.0000 permanent uncounted \
025C1CA02C808F7F HOSTID=ANY
FEATURE pcb_interactive cdslmd 1000.0000 permanent uncounted \
2BBECA53F7C9873B HOSTID=ANY
FEATURE pcb_prep cdslmd 1000.0000 permanent uncounted \
24B54660063D3FE5 HOSTID=ANY
FEATURE pcb_review cdslmd 1000.0000 permanent uncounted \
5C258C8A40D6AF4A HOSTID=ANY
FEATURE pcomp cdslmd 1000.0000 permanent uncounted 15B7CF9F392D01CD \
HOSTID=ANY
FEATURE placement cdslmd 1000.0000 permanent uncounted \
FDA6601B2B77E26C HOSTID=ANY
FEATURE plotVersa cdslmd 1000.0000 permanent uncounted \
BBD7B166E5DAFD41 HOSTID=ANY
FEATURE ptc_in cdslmd 1000.0000 permanent uncounted B7420D6370B00D06 \
HOSTID=ANY
FEATURE ptc_out cdslmd 1000.0000 permanent uncounted 9466F3A1EC00DB2B \
HOSTID=ANY
FEATURE quanticout cdslmd 1000.0000 permanent uncounted \
8EB85A579EF8CEAF HOSTID=ANY
FEATURE redifnet cdslmd 1000.0000 permanent uncounted \
3C21629CBC0F5D77 HOSTID=ANY
FEATURE rt cdslmd 1000.0000 permanent uncounted C8765BE1808582ED \
HOSTID=ANY
FEATURE sdrc_in cdslmd 1000.0000 permanent uncounted B3CF773D3EC60A6C \
HOSTID=ANY
FEATURE sdrc_out cdslmd 1000.0000 permanent uncounted \
6B729410FD20ED31 HOSTID=ANY
FEATURE signoise cdslmd 1000.0000 permanent uncounted \
012644D0A61C4F9C HOSTID=ANY
FEATURE skillDev cdslmd 1000.0000 permanent uncounted \
ED1A14C8B32245BF HOSTID=ANY
FEATURE stream_in cdslmd 1000.0000 permanent uncounted \
C1F7793C528CB758 HOSTID=ANY
FEATURE stream_out cdslmd 1000.0000 permanent uncounted \
9B862B762925E4DE HOSTID=ANY
FEATURE swap cdslmd 1000.0000 permanent uncounted C0883C3E58CF3C61 \
HOSTID=ANY
FEATURE sx cdslmd 1000.0000 permanent uncounted CA714EEC7C888FF6 \
HOSTID=ANY
FEATURE synSmartIF cdslmd 1000.0000 permanent uncounted \
949E258B0758E7E0 HOSTID=ANY
FEATURE synSmartLib cdslmd 1000.0000 permanent uncounted \
F03B5140E74FF87A HOSTID=ANY
FEATURE synTiOpt cdslmd 1000.0000 permanent uncounted \
7F5203167B81813D HOSTID=ANY
FEATURE tsTSynVHDL cdslmd 1000.0000 permanent uncounted \
9EAF249266080BF6 HOSTID=ANY
FEATURE tsTSynVLOG cdslmd 1000.0000 permanent uncounted \
B8A92086675819A3 HOSTID=ANY
FEATURE tsTestGen cdslmd 1000.0000 permanent uncounted \
F2BF5B35E5EBF25D HOSTID=ANY
FEATURE tsTestIntf cdslmd 1000.0000 permanent uncounted \
FB663D2C6A60154B HOSTID=ANY
FEATURE tune cdslmd 1000.0000 permanent uncounted AB7650324ED83F60 \
HOSTID=ANY
FEATURE tw01 cdslmd 1000.0000 permanent uncounted 8D2C701218E03D60 \
HOSTID=ANY
FEATURE tw02 cdslmd 1000.0000 permanent uncounted 8D2D70121ADF3D60 \
HOSTID=ANY
FEATURE v2e cdslmd 1000.0000 permanent uncounted 738F9C191D676748 \
HOSTID=ANY
FEATURE vc-signalscan cdslmd 1000.0000 permanent uncounted \
FDFD9D5D09541CC3 HOSTID=ANY
FEATURE vc-signalscan-transaction cdslmd 1000.0000 permanent \
uncounted 498E49F773C5D5A0 HOSTID=ANY
FEATURE vc-transaction-explorer cdslmd 1000.0000 permanent uncounted \
C3D2047BD413DB82 HOSTID=ANY
FEATURE verifault cdslmd 1000.0000 permanent uncounted \
FCAD77094A77EE5C HOSTID=ANY
FEATURE vgen cdslmd 1000.0000 permanent uncounted E46B1B273CC83F7E \
HOSTID=ANY
FEATURE viable cdslmd 1000.0000 permanent uncounted 35978FC587881A17 \
HOSTID=ANY
FEATURE visula_in cdslmd 1000.0000 permanent uncounted \
C5EA8D3B4F76CC62 HOSTID=ANY
FEATURE vloglink cdslmd 1000.0000 permanent uncounted \
12EFFA323887D5C5 HOSTID=ANY
FEATURE wedifsch cdslmd 1000.0000 permanent uncounted \
1CF532FC3B84A690 HOSTID=ANY
FEATURE xilCds cdslmd 1000.0000 permanent uncounted CEA810C2879A2207 \
HOSTID=ANY
FEATURE xilComposerFE cdslmd 1000.0000 permanent uncounted \
13E480FE64EDA9A9 HOSTID=ANY
FEATURE xilConceptFE cdslmd 1000.0000 permanent uncounted \
59D2548219732035 HOSTID=ANY
FEATURE xilEdif cdslmd 1000.0000 permanent uncounted 9ED8C9123FD0C917 \
HOSTID=ANY
FEATURE TimingAnalysis cdslmd 1000.0000 permanent uncounted \
802665E35A337847 HOSTID=ANY
FEATURE RCExtraction cdslmd 1000.0000 permanent uncounted \
228803B43DE5D35E HOSTID=ANY
FEATURE DelayCal cdslmd 1000.0000 permanent uncounted \
15B6473CAF14CC25 HOSTID=ANY
FEATURE TrialRoute cdslmd 1000.0000 permanent uncounted \
C3776AA554F659B2 HOSTID=ANY
FEATURE AmoebaPlace cdslmd 1000.0000 permanent uncounted \
3B35269FF205C3FF HOSTID=ANY
FEATURE DesignViewer cdslmd 1000.0000 permanent uncounted \
9344871D0727B390 HOSTID=ANY
FEATURE Route cdslmd 1000.0000 permanent uncounted 0EAFD7762508BADF \
HOSTID=ANY
FEATURE CeltIC cdslmd 1000.0000 permanent uncounted 93CB8FCE71B25E14 \
HOSTID=ANY
FEATURE Celtic_Crosstalk_Analyzer cdslmd 1000.0000 permanent \
uncounted FE60AD861CCE3E81 HOSTID=ANY
FEATURE SignalIntegrity cdslmd 1000.0000 permanent uncounted \
F61BAE13D627A207 HOSTID=ANY
FEATURE ClockSyn cdslmd 1000.0000 permanent uncounted \
23921B24C0FADC50 HOSTID=ANY
FEATURE PowerAnalysis cdslmd 1000.0000 permanent uncounted \
449589DD239082B8 HOSTID=ANY
FEATURE SpecialRoute cdslmd 1000.0000 permanent uncounted \
664AA7932EAFE5B0 HOSTID=ANY
FEATURE TimingBudget cdslmd 1000.0000 permanent uncounted \
48FEBB74564DE7C9 HOSTID=ANY
FEATURE PartitionOptimizer cdslmd 1000.0000 permanent uncounted \
04938C3AFDC19099 HOSTID=ANY
FEATURE FirstEncounter cdslmd 1000.0000 permanent uncounted \
3AE4BBB346455E0D HOSTID=ANY
FEATURE FirstEncounterSOC cdslmd 1000.0000 permanent uncounted \
9651F7EB57EDD669 HOSTID=ANY
FEATURE FE_Classic cdslmd 1000.0000 permanent uncounted \
DF12B42CE3FEEA5A HOSTID=ANY
FEATURE FE_Ultra cdslmd 1000.0000 permanent uncounted \
02F1111E219AB1B4 HOSTID=ANY
FEATURE SOC_Encounter cdslmd 1000.0000 permanent uncounted \
518E4DD41FB669AE HOSTID=ANY
FEATURE Encounter_C cdslmd 1000.0000 permanent uncounted \
4C7E13DF8D9E1A2D HOSTID=ANY
FEATURE Envisia_SE_SI_place_route cdslmd 1000.0000 permanent \
uncounted 679717D216FEC1F2 HOSTID=ANY
FEATURE Envisia_SE_ultra_place_route cdslmd 1000.0000 permanent \
uncounted 33AC676C526FF3D6 HOSTID=ANY
FEATURE Silicon_Ensemble_DSM cdslmd 1000.0000 permanent uncounted \
BD143A80B8B2FB2C HOSTID=ANY
FEATURE Envisia_PKS cdslmd 1000.0000 permanent uncounted \
28F10016BDA70754 HOSTID=ANY
FEATURE NanoRoute_Ultra cdslmd 1000.0000 permanent uncounted \
F9E5967916D67F6E HOSTID=ANY
FEATURE Nano_Encounter cdslmd 1000.0000 permanent uncounted \
FA810FC04AADF3B2 HOSTID=ANY
FEATURE Multithread_Route_Option cdslmd 1000.0000 permanent uncounted \
B6B793547B4F7AC8 HOSTID=ANY
FEATURE Cierto_SPW_comm_library_fxp_pt cdslmd 1000.0000 permanent \
uncounted E3388A7464FA766D HOSTID=ANY
FEATURE Cierto_HW_design_sys_2000 cdslmd 1000.0000 permanent \
uncounted C79A44A91657EE65 HOSTID=ANY
FEATURE Cierto_SPW_multimedia_kit cdslmd 1000.0000 permanent \
uncounted 8BCE0FC5C3314DC6 HOSTID=ANY
FEATURE Cierto_SPW_GSM_VE cdslmd 1000.0000 permanent uncounted \
13D817AFF3F22E46 HOSTID=ANY
FEATURE Cierto_SPW_IS136_VE cdslmd 1000.0000 permanent uncounted \
5A71AF1A614013BE HOSTID=ANY
FEATURE Cierto_SPW_pcscdma_VE cdslmd 1000.0000 permanent uncounted \
DFA204B261E4C68C HOSTID=ANY
FEATURE Cierto_signal_proc_wrksys_2000 cdslmd 1000.0000 permanent \
uncounted 9C0ECABA8B000061 HOSTID=ANY
FEATURE Cierto_SPW_comm_lib_flt_pt cdslmd 1000.0000 permanent \
uncounted E730A480CC0F0149 HOSTID=ANY
FEATURE SPW_Smart_Antenna_Library cdslmd 1000.0000 permanent \
uncounted 5172F95F1467418D HOSTID=ANY
FEATURE Cierto_Wireless_LAN_Library cdslmd 1000.0000 permanent \
uncounted 77D9478F4A64936F HOSTID=ANY
FEATURE Cierto_SPW_CDMA_Library cdslmd 1000.0000 permanent uncounted \
2BEF7898656B3618 HOSTID=ANY
FEATURE Cierto_SPW_model_manager cdslmd 1000.0000 permanent uncounted \
972319EF36D3B029 HOSTID=ANY
FEATURE Substrate_Noise_Analyst cdslmd 1000.0000 permanent uncounted \
0DCF9C04C32B9ACC HOSTID=ANY
FEATURE WLAN_Simulation_Runtime cdslmd 1000.0000 permanent uncounted \
0E2AABB132C66D33 HOSTID=ANY
FEATURE GSM_Simulation_Runtime cdslmd 1000.0000 permanent uncounted \
9F1D50A9E35887CF HOSTID=ANY
FEATURE CDMA_Simulation_Runtime cdslmd 1000.0000 permanent uncounted \
F7E0C7E0558B96F6 HOSTID=ANY
FEATURE SPW_CGS_ cdslmd 1000.0000 permanent uncounted \
061536C09E2D4591 HOSTID=ANY
FEATURE SPW_HLS cdslmd 1000.0000 permanent uncounted 99C0D60728AECE40 \
HOSTID=ANY
FEATURE SPW_MPX cdslmd 1000.0000 permanent uncounted AF4DC39428B9CD31 \
HOSTID=ANY
FEATURE Adv_Encrypt_Std_64bit cdslmd 1000.0000 permanent uncounted \
3FFAB330C56F44E6 HOSTID=ANY
FEATURE Cierto_SPW_link_to_Ambit_BG cdslmd 1000.0000 permanent \
uncounted FD8DE38D70F89D53 HOSTID=ANY
##
FEATURE affirma-signalscan-control cdslmd 4.800 31-dec-2020 999 1D356222511AC8B8399B HOSTID=ANY
##
FEATURE Incisive_HDL_Simulator cdslmd 4.800 31-dec-2020 999 0D0502E2214193E3396D HOSTID=ANY
FEATURE NC_SystemC_Simulator cdslmd 1000.0000 permanent uncounted 1DC5D2025F7360C69650 HOSTID=ANY
FEATURE Incisive_Design_Team_Simulator cdslmd 1000.0000 31-dec-2020 999 6D55023204DD6B5AD4CA \
HOSTID=ANY
##
FEATURE Incisive_Verif_Engine cdslmd 1000.0000 permanent uncounted FDC59252066466359C2E HOSTID=ANY
FEATURE Incisive_Verif_Environ cdslmd 6.000 permanent uncounted 7D748FB0C84D586FF52B HOSTID=ANY


Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
поделите шесть пополам:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru