[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Rustem 25 февраля 2002 г. 20:09
В ответ на: Помощь please по VHDL >> отправлено Борода 23 января 2002 г. 01:52

В комплекте установки пакета (а именно Express ) OrCad 9.1 были модели на различную логик. Если не ошибаюсь после установки в папочке /Library файлы S.VHD, LS.VHD, TTL.VHD. Найдешь их там.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru