[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ: ИТМиВт, 7 отдел, нач. отдела Губанов А.Ю., мой непосредственный начальник Шумаров Е.В.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Ildarka 14 февраля 2002 г. 12:07
В ответ на: уважаемый, Вы из ИТМиВТ? а где (у кого) работаете? отправлено yes 14 февраля 2002 г. 11:04

насчет разницы: по-моему в первом случае сброс\установ синхроннный во втором асинхронный :)

да пытался в схему ввести сброс
стало еще хуже, вообще непонятно как работать стала схема
(после синтеза) на функциональном уровне все ОК!

а вопрос мой был вот про что:
если я рисую схему, то могу поставить триггер который по gsr будет =1
(по умолчанию 0), таким образом я смогу проконтролировать в некоторой степени "начальное положение" схемы

есть ли такая возможность при синтезе HDL описания, не используя собственный reset?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru