[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ: Расширить условие управления третьим состоянием и сделать безусловным присвоение сигналам со входов (в таком виде как есть оно работает как latch
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Vasily 28 января 2002 г. 13:32
В ответ на: VHDL типа 1533АП6 не работает.Что не так?Подскажите please>> отправлено Борода 28 января 2002 г. 00:26

Честно говоря , не проверял, но я бы сделал так:


a <= n_state when (coz = '0' and cod = '1')
else "ZZZZZZZZ";

b <= p_state when (coz = '0' and cod = '0')
else "ZZZZZZZZ";


> process(cod,coz)

> begin


> if (cod = '1') then p_state <= a;
> if (cod = '1') then n_state <= b;

> end if;
> end if;
> end process;

If без else работает как триггер с защелкиванием по уровню, это все лишнее. Без выделение в отдельный процесс.

p_state <= a;
n_state <= b;



Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru