[an error occurred while processing this directive]
Надо выбирать что-то одно.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено V61 20 октября 2006 г. 11:07
В ответ на: Блочная память и VHDL отправлено <font color=gray>darkniisiis</font> 19 октября 2006 г. 16:23

Или формальное описание или вставку компонента. А Вы формально описали память, а инициализировали компонент, который и не вставили. Мне кажется, что так нельзя.
если формально.
type type_ram is array (0 to 255) of std_logic_vector(15 downto 0);
signal ram : type_ram := (others => (others => '0'));
Или, что там нужно инициализировать. Но посмотрите затем, что получилось, не всякий синтезатор проведет инициализацию. Может просто нули забить и ничего не сказать.
А если компонент - писать долго, возьмите его с XST User Guide.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа
Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 
URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание