[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено darkniisiis 18 октября 2006 г. 13:58
В ответ на: Ответ: отправлено SAZH 18 октября 2006 г. 12:34

В общем пришли туда, с чего начали.Я прекрасно понимаю что до нормальных листингов мне далеко,поэтому и прошу помощи(время не ждёт).Кто-нибудь может мне подсказать нормальный рабочий алгоритм на VHDL(верилог симулятор не поддерживает),вроде схемка-то несложная?
Ну или укажите мне на мои ошибки,только поконкретнее, чтобы у меня была возможность их исправить.
Заранее всем благодарен за потраченное на меня время.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа
Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 
URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание