[an error occurred while processing this directive]
reset - сигнал начальной установки триггеров.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
|
Отправлено
V61 18 октября 2006 г. 12:26
В ответ на: Ответ: отправлено
<font color=gray>darkniisiis</font> 18 октября 2006 г. 11:59
|
|
|
|
Не обязателен, но без него триггера станут в неопределенное состояние.
Ваш счетчик:
signal cnt : std_logic_vector(16 downto 0);
Все остальные сигналы Ваши
CLK,RST,SET : in STD_LOGIC;
PROG : in STD_LOGIC_VECTOR(15 downto 0);
OUT_CLK : out STD_LOGIC;
А Вам нужно задержать внешний сигнал на 0 - 2**16 тактов?
Или SET - это внутренний сигнал?
Составить ответ
|||
Конференция
|||
Архив
Ответы
- Ответ: — darkniisiis (18.10.2006 13:33 212.44.92.82, 50 байт)
Перейти к списку ответов
|||
Конференция
|||
Архив
|||
Главная страница
|||
Содержание