[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено darkniisiis 17 октября 2006 г. 16:43
В ответ на: Ответ: Точнее отправлено <font color=gray>dmv</font> 16 октября 2006 г. 17:55

почему-то при моделировании при подаче тактового импульса clk 50 ps u prog="0000000000000001" при отсутствии set появляется после начала работы через один такт импульс 100 ps, в дальнейшем всегда 0. При других prog на выходе всегда 0.
При моделировании с помощью Вашего тестбенча модельсим выдаёт критикал еррор на последней строчке теста.
Где грабли?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа
Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 
URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание